default search action
Alex K. Jones
Person information
- affiliation: University of Pittsburgh, Pennsylvania, USA
Other persons with a similar name
- Alex Jones — disambiguation page
- Alex J. Jones
- Alex L. Jones
- Alex P. Jones
- Alex T. Jones
- Alex Jones 0001 — Dartmouth College, Hanover, NH, USA
- Alex Rees-Jones
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j61]Asif Ali Khan, Fazal Hameed, Taha Shahroodi, Alex K. Jones, Jerónimo Castrillón:
Efficient Memory Layout for Pre-Alignment Filtering of Long DNA Reads Using Racetrack Memory. IEEE Comput. Archit. Lett. 23(1): 129-132 (2024) - [j60]Pavia Bera, Stephen Cahoon, Sanjukta Bhanja, Alex K. Jones:
SPIMulator: A Spintronic Processing-in-memory Simulator for Racetracks. ACM Trans. Embed. Comput. Syst. 23(6): 94:1-94:27 (2024) - [j59]Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Shixin Ji, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex K. Jones, Jingtong Hu, Yiyu Shi, Deming Chen, Jason Cong, Peipei Zhou:
CHARM 2.0: Composing Heterogeneous Accelerators for Deep Learning on Versal ACAP Architecture. ACM Trans. Reconfigurable Technol. Syst. 17(3): 51:1-51:31 (2024) - [c101]Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou:
SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration. FPGA 2024: 55-66 - [c100]Evan McKinney, Michael Hatridge, Alex K. Jones:
MIRAGE: Quantum Circuit Decomposition and Routing Collaborative Design Using Mirror Gates. HPCA 2024: 704-718 - [c99]Shixin Ji, Zhuoping Yang, Xingzhen Chen, Stephen Cahoon, Jingtong Hu, Yiyu Shi, Alex K. Jones, Peipei Zhou:
SCARIF: Towards Carbon Modeling of Cloud Servers with Accelerators. ISVLSI 2024: 496-501 - [i23]Shixin Ji, Zhuoping Yang, Stephen Cahoon, Alex K. Jones, Peipei Zhou:
Towards Carbon Modeling of Cloud Servers with Accelerators. CoRR abs/2401.06270 (2024) - [i22]Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou:
SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration. CoRR abs/2401.10417 (2024) - [i21]Sheng Li, Geng Yuan, Yawen Wu, Yue Dai, Chao Wu, Alex K. Jones, Jingtong Hu, Yanzhi Wang, Xulong Tang:
EdgeOL: Efficient in-situ Online Learning on Edge Devices. CoRR abs/2401.16694 (2024) - [i20]Shixin Ji, Zhuoping Yang, Xingzhen Chen, Jingtong Hu, Yiyu Shi, Alex K. Jones, Peipei Zhou:
Towards Data-center Level Carbon Modeling and Optimization for Deep Learning Inference. CoRR abs/2403.04976 (2024) - [i19]Preston Brazzle, Benjamin F. Morris III, Evan McKinney, Peipei Zhou, Jingtong Hu, Asif Ali Khan, Alex K. Jones:
Towards Error Correction for Computing in Racetrack Memory. CoRR abs/2407.21661 (2024) - [i18]João Paulo Cardoso de Lima, Benjamin F. Morris III, Asif Ali Khan, Jerónimo Castrillón, Alex K. Jones:
Count2Multiply: Reliable In-memory High-Radix Counting. CoRR abs/2409.10136 (2024) - 2023
- [j58]Sébastien Ollivier, Sheng Li, Yue Tang, Stephen Cahoon, Ryan Caginalp, Chayanika Chaudhuri, Peipei Zhou, Xulong Tang, Jingtong Hu, Alex K. Jones:
Sustainable AI Processing at the Edge. IEEE Micro 43(1): 19-28 (2023) - [j57]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
Toward Comprehensive Shifting Fault Tolerance for Domain-Wall Memories With PIETT. IEEE Trans. Computers 72(4): 1095-1109 (2023) - [j56]Asif Ali Khan, Sébastien Ollivier, Fazal Hameed, Jerónimo Castrillón, Alex K. Jones:
DownShift: Tuning Shift Reduction With Reliability for Racetrack Memories. IEEE Trans. Computers 72(9): 2585-2599 (2023) - [c98]Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Yubo Du, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex K. Jones, Jingtong Hu, Deming Chen, Jason Cong, Peipei Zhou:
CHARM: Composing Heterogeneous AcceleRators for Matrix Multiply on Versal ACAP Architecture. FPGA 2023: 153-164 - [c97]Evan McKinney, Mingkang Xia, Chao Zhou, Pinlei Lu, Michael Hatridge, Alex K. Jones:
Co-Designed Architectures for Modular Superconducting Quantum Computers. HPCA 2023: 759-772 - [c96]Jiangwei Zhang, Chong Wang, Zhenhua Zhu, Donald Kline, Alex K. Jones, Huazhong Yang, Yu Wang:
Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance. HPCA 2023: 964-976 - [c95]Zhuoping Yang, Jinming Zhuang, Jiaqi Yin, Cunxi Yu, Alex K. Jones, Peipei Zhou:
AIM: Accelerating Arbitrary-Precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP. ICCAD 2023: 1-9 - [c94]Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex K. Jones:
REFRESH FPGAs: Sustainable FPGA Chiplet Architectures. IGSC 2023: 1-3 - [c93]Evan McKinney, Chao Zhou, Mingkang Xia, Michael Hatridge, Alex K. Jones:
Parallel Driving for Fast Quantum Computing Under Speed Limits. ISCA 2023: 40:1-40:13 - [i17]Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Yubo Du, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex K. Jones, Jingtong Hu, Deming Chen, Jason Cong, Peipei Zhou:
CHARM: Composing Heterogeneous Accelerators for Matrix Multiply on Versal ACAP Architecture. CoRR abs/2301.02359 (2023) - [i16]Zhuoping Yang, Jinming Zhuang, Jiaqi Yin, Cunxi Yu, Alex K. Jones, Peipei Zhou:
AIM: Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP. CoRR abs/2309.12275 (2023) - [i15]Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex K. Jones:
REFRESH FPGAs: Sustainable FPGA Chiplet Architectures. CoRR abs/2312.02991 (2023) - 2022
- [j55]Fazal Hameed, Asif Ali Khan, Sébastien Ollivier, Alex K. Jones, Jerónimo Castrillón:
DNA Pre-Alignment Filter Using Processing Near Racetrack Memory. IEEE Comput. Archit. Lett. 21(2): 53-56 (2022) - [j54]Sébastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Choudhuri, Jingtong Hu, Alex K. Jones:
Pod-racing: bulk-bitwise to floating-point compute in racetrack memory for machine learning at the edge. IEEE Micro 42(6): 9-16 (2022) - [j53]Kawsher A. Roxy, Stephen Longofono, Sébastien Ollivier, Sanjukta Bhanja, Alex K. Jones:
Pinning Fault Mode Modeling for DWM Shifting. IEEE Trans. Circuits Syst. II Express Briefs 69(7): 3319-3323 (2022) - [j52]Asif Ali Khan, Sébastien Ollivier, Stephen Longofono, Gerald Hempel, Jerónimo Castrillón, Alex K. Jones:
Brain-inspired Cognition in Next-generation Racetrack Memories. ACM Trans. Embed. Comput. Syst. 21(6): 79:1-79:28 (2022) - [c92]Xinyi Zhang, Cong Hao, Peipei Zhou, Alex K. Jones, Jingtong Hu:
H2H: heterogeneous model to heterogeneous system mapping with computation and communication awareness. DAC 2022: 601-606 - [c91]Stephen Longofono, Seyed Mohammad Seyedzadeh, Alex K. Jones:
Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells. HPCA 2022: 1128-1140 - [c90]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
CORUSCANT: Fast Efficient Processing-in-Racetrack Memories. MICRO 2022: 784-798 - [i14]Kawsher A. Roxy, Stephen Longofono, Sébastien Ollivier, Sanjukta Bhanja, Alex K. Jones:
Pinning Fault Mode Modeling for DWM Shifting. CoRR abs/2203.08303 (2022) - [i13]Sébastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Choudhuri, Jingtong Hu, Alex K. Jones:
FPIRM: Floating-point Processing in Racetrack Memories. CoRR abs/2204.13788 (2022) - [i12]Xinyi Zhang, Cong Hao, Peipei Zhou, Alex K. Jones, Jingtong Hu:
H2H: Heterogeneous Model to Heterogeneous System Mapping with Computation and Communication Awareness. CoRR abs/2204.13852 (2022) - [i11]Fazal Hameed, Asif Ali Khan, Sébastien Ollivier, Alex K. Jones, Jerónimo Castrillón:
DNA Pre-alignment Filter using Processing Near Racetrack Memory. CoRR abs/2205.02046 (2022) - [i10]Prayash Dutta, Albert Lee, Kang L. Wang, Alex K. Jones, Sanjukta Bhanja:
A Multi-domain Magneto Tunnel Junction for Racetrack Nanowire Strips. CoRR abs/2205.12494 (2022) - [i9]Sébastien Ollivier, Sheng Li, Yue Tang, Chayanika Chaudhuri, Peipei Zhou, Xulong Tang, Jingtong Hu, Alex K. Jones:
Sustainable AI Processing at the Edge. CoRR abs/2207.01209 (2022) - 2021
- [j51]Stephen Longofono, Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
A CASTLE With TOWERs for Reliable, Secure Phase-Change Memory. IEEE Trans. Computers 70(9): 1311-1324 (2021) - [c89]Alex K. Jones, Stephen Longofono, Sébastien Ollivier, Donald Kline Jr., Jiangwei Zhang, Rami G. Melhem:
Tuning Memory Fault Tolerance on the Edge. ACM Great Lakes Symposium on VLSI 2021: 421-424 - [i8]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
PIRM: Processing In Racetrack Memories. CoRR abs/2108.01202 (2021) - [i7]Asif Ali Khan, Sébastien Ollivier, Stephen Longofono, Gerald Hempel, Jerónimo Castrillón, Alex K. Jones:
Brain-inspired Cognition in Next Generation Racetrack Memories. CoRR abs/2111.02246 (2021) - [i6]Stephen Longofono, Seyed Mohammad Seyedzadeh, Alex K. Jones:
Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells. CoRR abs/2112.01658 (2021) - [i5]Arifa Hoque, Alex K. Jones, Sanjukta Bhanja:
XDWM: A 2D Domain Wall Memory. CoRR abs/2112.12692 (2021) - 2020
- [j50]Seyed Mohammad Seyedzadeh, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Sustainable disturbance crosstalk mitigation in deeply scaled phase-change memory. Sustain. Comput. Informatics Syst. 28: 100410 (2020) - [c88]Donald Kline Jr., Jiangwei Zhang, Rami G. Melhem, Alex K. Jones:
FLOWER and FaME: A Low Overhead Bit-Level Fault-map and Fault-Tolerance Approach for Deeply Scaled Memories. HPCA 2020: 356-368 - [i4]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, Igor L. Markov:
Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond. CoRR abs/2005.01588 (2020)
2010 – 2019
- 2019
- [j49]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Yielding optimized dependability assurance through bit inversion. Integr. 64: 105-113 (2019) - [j48]Donald Kline Jr., Nikolas Parshook, Xiaoyu Ge, Erik Brunvand, Rami G. Melhem, Panos K. Chrysanthis, Alex K. Jones:
GreenChip: A tool for evaluating holistic sustainability of modern computing systems. Sustain. Comput. Informatics Syst. 22: 322-332 (2019) - [c87]Donald Kline Jr., Stephen Longofono, Rami G. Melhem, Alex K. Jones:
Predicting Single Event Effects in DRAM. DFT 2019: 1-6 - [c86]Sébastien Ollivier, Donald Kline Jr., Kawsher A. Roxy, Rami G. Melhem, Sanjukta Bhanja, Alex K. Jones:
Leveraging Transverse Reads to Correct Alignment Faults in Domain Wall Memories. DSN 2019: 375-387 - [c85]Stephen Longofono, Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Toward Secure, Reliable, and Energy Efficient Phase-change Main Memory with MACE. IGSC 2019: 1-8 - [c84]Sébastien Ollivier, Donald Kline Jr., Kawsher A. Roxy, Rami G. Melhem, Sanjukta Bhanja, Alex K. Jones:
The Power of Orthogonality. ISVLSI 2019: 100-102 - [c83]Donald Kline Jr., Stephen Longofono, Sébastien Ollivier, Erin Higgins, Rami G. Melhem, Alex K. Jones:
PREMSim: A Resilience Framework for Modeling Traditional and Emerging Memory Reliability. MASCOTS 2019: 396-409 - 2018
- [j47]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
RETROFIT: Fault-Aware Wear Leveling. IEEE Comput. Archit. Lett. 17(2): 167-170 (2018) - [j46]Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Counter Advance for Reliable Encryption in Phase Change Memory. IEEE Comput. Archit. Lett. 17(2): 209-212 (2018) - [j45]Donald Kline Jr., Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Racetrack Queues for Extremely Low-Energy FIFOs. IEEE Trans. Very Large Scale Integr. Syst. 26(8): 1531-1544 (2018) - [j44]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Data Block Partitioning Methods to Mitigate Stuck-At Faults in Limited Endurance Memories. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2358-2371 (2018) - [c82]Erik Brunvand, Donald Kline Jr., Alex K. Jones:
Dark Silicon Considered Harmful: A Case for Truly Green Computing. IGSC 2018: 1-8 - [c81]Donald Kline Jr., Alex K. Jones:
Achieving Secure, Reliable, and Sustainable Next Generation Computing Memories. IGSC 2018: 1-2 - [c80]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Improving Sustainability Through Disturbance Crosstalk Mitigation in Deeply Scaled Phase-change Memory. IGSC 2018: 1-8 - [c79]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM. HPCA 2018: 350-361 - [c78]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Mitigating Wordline Crosstalk Using Adaptive Trees of Counters. ISCA 2018: 612-623 - [i3]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Mitigating Wordline Crosstalk using Adaptive Trees of Counters. CoRR abs/1806.02498 (2018) - 2017
- [j43]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Counter-Based Tree Structure for Row Hammering Mitigation in DRAM. IEEE Comput. Archit. Lett. 16(1): 18-21 (2017) - [c77]Alex K. Jones:
Green Computing: New Challenges and Opportunities. ACM Great Lakes Symposium on VLSI 2017: 3 - [c76]Alex K. Jones, Rami G. Melhem, Donald Kline Jr.:
Holistic energy efficient crosstalk mitigation in DRAM. IGSC 2017: 1-6 - [c75]Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Sustainable fault management and error correction for next-generation main memories. IGSC 2017: 1-6 - [c74]Donald Kline Jr., Nikolas Parshook, Alex Johnson, James E. Stine, William E. Stanchina, Erik Brunvand, Alex K. Jones:
Sustainable IC design and fabrication. IGSC 2017: 1-8 - [c73]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Dynamic partitioning to mitigate stuck-at faults in emerging memories. ICCAD 2017: 651-658 - [c72]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Yoda: Judge Me by My Size, Do You? ICCD 2017: 395-398 - [c71]Seyed Mohammad Seyedzadeh, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Mitigating bitline crosstalk noise in DRAM memories. MEMSYS 2017: 205-216 - [i2]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
A Variable Length Coding Framework for Cost Function Reduction in Non-Volatile Memory Systems. CoRR abs/1710.08940 (2017) - [i1]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM. CoRR abs/1711.08572 (2017) - 2016
- [j42]Haifeng Xu, William O. Collinge, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec, Alex K. Jones:
Towards a commodity solution for the internet of things. Comput. Electr. Eng. 52: 138-156 (2016) - [j41]Seyed Mohammad Seyedzadeh, Rakan Maddah, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Improving Bit Flip Reduction for Biased and Random Data. IEEE Trans. Computers 65(11): 3345-3356 (2016) - [j40]Haifeng Xu, Yousra Alkabani, Rami G. Melhem, Alex K. Jones:
FusedCache: A Naturally Inclusive, Racetrack Memory, Dual-Level Private Cache. IEEE Trans. Multi Scale Comput. Syst. 2(2): 69-82 (2016) - [j39]Michael Moeng, Alex K. Jones, Rami G. Melhem:
Weighted-Tuple: Fast and Accurate Synchronization for Parallel Architecture Simulators. IEEE Trans. Parallel Distributed Syst. 27(8): 2462-2474 (2016) - [j38]Michael Moeng, Haifeng Xu, Rami G. Melhem, Alex K. Jones:
ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access. IEEE Trans. Very Large Scale Integr. Syst. 24(1): 343-347 (2016) - [c70]Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex K. Jones, Rami G. Melhem:
Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM. DSN 2016: 215-226 - [c69]Ismail Bayram, Enes Eken, Donald Kline Jr., Nikolas Parshook, Yiran Chen, Alex K. Jones:
Modeling STT-RAM fabrication cost and impacts in NVSim. IGSC 2016: 1-8 - [c68]Donald Kline Jr., Nikolas Parshook, Xiaoyu Ge, Erik Brunvand, Rami G. Melhem, Panos K. Chrysanthis, Alex K. Jones:
Holistically evaluating the environmental impacts in modern computing systems. IGSC 2016: 1-8 - [c67]Yousra Alkabani, Zach Koopmans, Haifeng Xu, Alex K. Jones, Rami G. Melhem:
Write Pulse Scaling for Energy Efficient STT-MRAM. ISVLSI 2016: 248-253 - 2015
- [j37]Ervin Sejdic, Alexandre Millecamps, J. Teoli, Michael A. Rothfuss, Nicholas G. Franconi, Subashan Perera, Alex K. Jones, Jennifer S. Brach, Marlin H. Mickle:
Assessing interactions among multiple physiological systems during walking outside a laboratory: An Android based gait monitor. Comput. Methods Programs Biomed. 122(3): 450-461 (2015) - [j36]Bruce R. Childers, Alex K. Jones, Daniel Mossé:
A Roadmap and Plan of Action for Community-Supported Empirical Evaluation in Computer Architecture. ACM SIGOPS Oper. Syst. Rev. 49(1): 108-117 (2015) - [j35]R. Iris Bahar, Alex K. Jones, Yuan Xie:
Introduction to the Special Issue on Reliable, Resilient, and Robust Design of Circuits and Systems. ACM Trans. Design Autom. Electr. Syst. 20(4): 59:1-59:2 (2015) - [j34]Yaojun Zhang, Yong Li, Zhenyu Sun, Hai Li, Yiran Chen, Alex K. Jones:
Read Performance: The Newest Barrier in Scaled STT-RAM. IEEE Trans. Very Large Scale Integr. Syst. 23(6): 1170-1174 (2015) - [c66]Haifeng Xu, Yong Li, Rami G. Melhem, Alex K. Jones:
Multilane Racetrack caches: Improving efficiency through compression and independent shifting. ASP-DAC 2015: 417-422 - [c65]Donald Kline Jr., Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Domain-wall memory buffer for low-energy NoCs. DAC 2015: 11:1-11:6 - [c64]Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex K. Jones, Rami G. Melhem:
PRES: pseudo-random encoding scheme to increase the bit flip reduction in the memory. DAC 2015: 23:1-23:6 - [c63]Donald Kline Jr., Kai Wang, Rami G. Melhem, Alex K. Jones:
MSCS: Multi-hop Segmented Circuit Switching. ACM Great Lakes Symposium on VLSI 2015: 179-184 - [c62]Yong Li, Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Space Oblivious Compression: Power Reduction for Non-Volatile Main Memories. ACM Great Lakes Symposium on VLSI 2015: 217-220 - [c61]Haifeng Xu, Yong Li, William O. Collinge, Laura A. Schaefer, Melissa M. Bilec, Alex K. Jones, Amy E. Landis:
Improving efficiency of wireless sensor networks through lightweight in-memory compression. IGSC 2015: 1-8 - [c60]Michael Moeng, Alex K. Jones, Rami G. Melhem:
Reciprocal abstraction for computer architecture co-simulation. ISPASS 2015: 268-277 - [c59]Haifeng Xu, Melissa M. Bilec, William O. Collinge, Laura A. Schaefer, Amy E. Landis, Alex K. Jones:
Lynx: a self-organizing wireless sensor network with commodity palmtop computers. SLIP 2015: 1-7 - [e4]Alex K. Jones, Hai (Helen) Li, Ayse K. Coskun, Martin Margala:
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20 - 22, 2015. ACM 2015, ISBN 978-1-4503-3474-7 [contents] - 2014
- [j33]Yong Li, Rami G. Melhem, Alex K. Jones:
A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors. IEEE Trans. Computers 63(12): 2905-2918 (2014) - [c58]Mengjie Mao, Guangyu Sun, Yong Li, Alex K. Jones, Yiran Chen:
Prefetching techniques for STT-RAM based last-level cache in CMP systems. ASP-DAC 2014: 67-72 - [c57]Xiaoxiao Liu, Yong Li, Yaojun Zhang, Alex K. Jones, Yiran Chen:
STD-TLB: A STT-RAM-based dynamically-configurable translation lookaside buffer for GPU architectures. ASP-DAC 2014: 355-360 - [c56]Alex K. Jones:
EDA for extreme scale systems: design abstractions, metrics, and benchmarks. ACM Great Lakes Symposium on VLSI 2014: 285-286 - [c55]Zhenyu Sun, Xiuyuan Bi, Alex K. Jones, Hai Li:
Design exploration of racetrack lower-level caches. ISLPED 2014: 263-266 - [c54]Michael Moeng, Rami G. Melhem, Alex K. Jones:
Weighted-Tuple Synchronization for Parallel Architecture Simulators. MASCOTS 2014: 275-284 - [e3]Joseph R. Cavallaro, Tong Zhang, Alex K. Jones, Hai (Helen) Li:
Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014. ACM 2014, ISBN 978-1-4503-2816-6 [contents] - [e2]Grigori Fursin, Bruce R. Childers, Alex K. Jones, Daniel Mossé:
Proceedings of the 1st ACM SIGPLAN Workshop on Reproducible Research Methodologies and New Publication Models in Computer Engineering, TRUST 2014, Edinburgh, United Kingdom, June 9-11, 2014. ACM 2014, ISBN 978-1-4503-2951-4 [contents] - 2013
- [j32]Gayatri Mehta, Alex K. Jones:
Implementation and validation of architectural space exploration techniques for domain-specific reconfigurable computing. Des. Autom. Embed. Syst. 17(1): 27-51 (2013) - [j31]Yong Li, Rami G. Melhem, Alex K. Jones:
PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs. ACM Trans. Archit. Code Optim. 9(4): 28:1-28:21 (2013) - [j30]Yong Li, Yaojun Zhang, Hai Li, Yiran Chen, Alex K. Jones:
C1C: A configurable, compiler-guided STT-RAM L1 cache. ACM Trans. Archit. Code Optim. 10(4): 52:1-52:22 (2013) - [j29]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
Ordering circuit establishment in multiplane NoCs. ACM Trans. Design Autom. Electr. Syst. 18(4): 49:1-49:33 (2013) - [c53]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
Proactive circuit allocation in multiplane NoCs. DAC 2013: 35:1-35:10 - [c52]Mengjie Mao, Hai (Helen) Li, Alex K. Jones, Yiran Chen:
Coordinating prefetching and STT-RAM based last-level cache management for multicore systems. ACM Great Lakes Symposium on VLSI 2013: 55-60 - [c51]Alex K. Jones, Liang Liao, William O. Collinge, Haifeng Xu, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec:
Green computing: A life cycle perspective. IGCC 2013: 1-6 - [c50]Haifeng Xu, Melissa M. Bilec, Laura A. Schaefer, Amy E. Landis, Alex K. Jones:
Ocelot: A wireless sensor network and computing engine with commodity palmtop computers. IGCC 2013: 1-8 - [c49]Alex K. Jones, Yiran Chen, William O. Collinge, Haifeng Xu, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec:
Considering fabrication in sustainable computing. ICCAD 2013: 206-210 - [c48]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, Igor L. Markov:
"Scaling" the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation. MSE 2013: 64-67 - [e1]José Luis Ayala, Alex K. Jones, Patrick H. Madden, Ayse K. Coskun:
Great Lakes Symposium on VLSI 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013. ACM 2013, ISBN 978-1-4503-2032-0 [contents] - 2012
- [j28]Yang Li, Rami G. Melhem, Alex K. Jones:
Leveraging Sharing in Second Level Translation-Lookaside Buffers for Chip Multiprocessors. IEEE Comput. Archit. Lett. 11(2): 49-52 (2012) - [j27]Yong Li, Yaojun Zhang, Yiran Chen, Alex K. Jones:
Combating Write Penalties Using Software Dispatch for On-Chip MRAM Integration. IEEE Embed. Syst. Lett. 4(4): 82-85 (2012) - [j26]Xin Yuan, Gayatri Mehta, Alex K. Jones:
Guest Editor's Note - Interaction between Compilers and Computer Architectures. J. Circuits Syst. Comput. 21(2) (2012) - [j25]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
Codesign of NoC and Cache Organization for Reducing Access Latency in Chip Multiprocessors. IEEE Trans. Parallel Distributed Syst. 23(6): 1038-1046 (2012) - [j24]Yong Li, Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
Compiler-Assisted Data Distribution and Network Configuration for Chip Multiprocessors. IEEE Trans. Parallel Distributed Syst. 23(11): 2058-2066 (2012) - [c47]Yong Li, Rami G. Melhem, Alex K. Jones:
Practically private: enabling high performance CMPs through compiler-assisted data classification. PACT 2012: 231-240 - [c46]Yaojun Zhang, Xiaobin Wang, Yong Li, Alex K. Jones, Yiran Chen:
Asymmetry of MTJ switching and its implication to STT-RAM designs. DATE 2012: 1313-1318 - [c45]Yong Li, Yiran Chen, Alex K. Jones:
A software approach for combating asymmetries of non-volatile memories. ISLPED 2012: 191-196 - [c44]Yong Li, Alex K. Jones:
Cross-Layer Techniques for Optimizing Systems Utilizing Memories with Asymmetric Access Characteristics. ISVLSI 2012: 404-409 - [c43]Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
Déjà Vu Switching for Multiplane NoCs. NOCS 2012: 11-18 - 2011
- [c42]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
NoC-aware cache design for multithreaded execution on tiled chip multiprocessors. HiPEAC 2011: 197-205 - [c41]Alex K. Jones, Steven P. Levitan:
Industrially inspired just-in-time (JIT) teaching. MSE 2011: 9-12 - [c40]Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
Two-hop Free-space based optical interconnects for chip multiprocessors. NOCS 2011: 89-96 - 2010
- [j23]Charles C. Weems, Darren J. Kerbyson, Ramakrishnan Rajamony, Alex K. Jones:
Guest Editor's Note: Large-Scale Parallel Processing. Parallel Process. Lett. 20(4): 289-291 (2010) - [c39]Yong Li, Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
Compiler-assisted data distribution for chip multiprocessors. PACT 2010: 501-512 - [c38]Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
NoC-aware cache design for chip multiprocessors. PACT 2010: 565-566 - [c37]Colin J. Ihrig, Rami G. Melhem, Alex K. Jones:
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. DAC 2010: 431-436 - [c36]Gayatri Mehta, Alex K. Jones:
An architectural space exploration tool for domain specific reconfigurable computing. IPDPS Workshops 2010: 1-8
2000 – 2009
- 2009
- [j22]Alex K. Jones, Darren J. Kerbyson, Ramakrishnan Rajamony, Charles C. Weems:
Guest Editor's Note: Large Scale Parallel Processing. Parallel Process. Lett. 19(4): 487-490 (2009) - [j21]Yu Zhang, Alex K. Jones:
Non-Uniform "Fat-Meshes" for Chip Multiprocessors. Parallel Process. Lett. 19(4): 595-617 (2009) - [j20]Swapna R. Dontharaju, Shen Chih Tung, James T. Cain, Leonid Mats, Marlin H. Mickle, Alex K. Jones:
A design automation and power estimation flow for RFID systems. ACM Trans. Design Autom. Electr. Syst. 14(1): 7:1-7:31 (2009) - [j19]Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones:
Interconnect customization for a hardware fabric. ACM Trans. Design Autom. Electr. Syst. 14(1): 11:1-11:32 (2009) - [j18]Shuyi Shao, Alex K. Jones, Rami G. Melhem:
Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems. IEEE Trans. Parallel Distributed Syst. 20(3): 331-345 (2009) - [j17]Patrick Schaumont, Alex K. Jones, Steve Trimberger:
Guest Editors' Introduction to Security in Reconfigurable Systems Design. ACM Trans. Reconfigurable Technol. Syst. 2(1): 1:1-1:6 (2009) - [c35]Colin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones:
A low-power CMOS thyristor based delay element with programmability extensions. ACM Great Lakes Symposium on VLSI 2009: 297-302 - [c34]Ahmed Abousamra, Rami G. Melhem, Alex K. Jones:
Winning with Pinning in NoC. Hot Interconnects 2009: 13-21 - [c33]Yu Zhang, Alex K. Jones:
Non-uniform fat-meshes for chip multiprocessors. IPDPS 2009: 1-8 - 2008
- [j16]Raymond R. Hoare, Zhu Ding, Alex K. Jones:
A two-stage hardware scheduler combining greedy and optimal scheduling. J. Parallel Distributed Comput. 68(11): 1437-1451 (2008) - [j15]Alex K. Jones, Darren J. Kerbyson, Ramakrishnan Rajamony, Charles C. Weems:
Guest Editor's Note: Large-Scale Parallel Processing. Parallel Process. Lett. 18(4): 449-451 (2008) - [j14]Alex K. Jones, Shuyi Shao, Yu Zhang, Rami G. Melhem:
Symbolic Expression Analysis for Compiled Communication. Parallel Process. Lett. 18(4): 567-587 (2008) - [j13]Alex K. Jones, Swapna R. Dontharaju, Shen Chih Tung, Leonid Mats, Peter J. Hawrylak, Raymond R. Hoare, James T. Cain, Marlin H. Mickle:
Radio frequency identification prototyping. ACM Trans. Design Autom. Electr. Syst. 13(2): 29:1-29:22 (2008) - [j12]Alex K. Jones, Robert Walker:
Introduction to the special section on demonstrable software systems and hardware platforms II. ACM Trans. Design Autom. Electr. Syst. 13(3): 38:1-38:3 (2008) - [c32]Gayatri Mehta, Colin J. Ihrig, Alex K. Jones:
Reducing energy by exploring heterogeneity in a coarse-grain fabric. IPDPS 2008: 1-8 - [c31]Shuyi Shao, Yu Zhang, Alex K. Jones, Rami G. Melhem:
Symbolic expression analysis for compiled communication. IPDPS 2008: 1-8 - [c30]Shen Chih Tung, Alex K. Jones:
Physical layer design automation for RFID systems. IPDPS 2008: 1-8 - [c29]Ying Yu, Raymond R. Hoare, Alex K. Jones:
A CAM-based intrusion detection system for single-packet attack detection. IPDPS 2008: 1-8 - 2007
- [j11]Alex K. Jones, Raymond Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle:
An automated, FPGA-based reconfigurable, low-power RFID tag. Microprocess. Microsystems 31(2): 116-134 (2007) - [c28]Colin J. Ihrig, Justin Stander, Alex K. Jones:
Pipelining Tradeoffs of Massively Parallel SuperCISC Hardware Functions. IPDPS 2007: 1-8 - [c27]Alex K. Jones, Raymond R. Hoare, Joseph St. Onge, Joshua M. Lucas, Shuyi Shao, Rami G. Melhem:
Linking Compilation and Visualization for Massively Parallel Programs. IPDPS 2007: 1-8 - [c26]Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones:
Interconnect Customization for a Coarse-grained Reconfigurable Fabric. IPDPS 2007: 1-8 - [c25]Alex K. Jones, Swapna R. Dontharaju, Leonid Mats, James T. Cain, Marlin H. Mickle:
Exploring RFID Prototyping in the Virtual Laboratory. MSE 2007: 137-138 - [c24]Alex K. Jones, Steven P. Levitan, Rob A. Rutenbar, Yuan Xie:
Collaborative VLSI-CAD Instruction in the Digital Sandbox. MSE 2007: 141-142 - 2006
- [j10]Raymond R. Hoare, Alex K. Jones, Dara Kusic, Joshua Fazekas, John Foster, Shen Chih Tung, Michael L. McCloud:
Rapid VLIW Processor Customization for Signal Processing Applications Using Combinational Hardware Functions. EURASIP J. Adv. Signal Process. 2006 (2006) - [j9]Jeffrey William Schuster, Kshitij Gupta, Raymond Hoare, Alex K. Jones:
Speech Silicon: An FPGA Architecture for Real-Time Hidden Markov-Model-Based Speech Recognition. EURASIP J. Embed. Syst. 2006 (2006) - [j8]Alex K. Jones, Jiang Zheng, Ahmed Amer:
Entropy Based Evaluation of Communication Predictability in Parallel Applications. IEICE Trans. Inf. Syst. 89-D(2): 469-478 (2006) - [j7]Alex K. Jones, Swapna R. Dontharaju, Shen Chih Tung, Peter J. Hawrylak, Leonid Mats, Raymond R. Hoare, James T. Cain, Marlin H. Mickle:
Passive active radio frequency identification tags. Int. J. Radio Freq. Identif. Technol. Appl. 1(1): 52-73 (2006) - [j6]Gayatri Mehta, Justin Stander, Joshua M. Lucas, Raymond R. Hoare, Brady Hunsaker, Alex K. Jones:
A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. J. Low Power Electron. 2(2): 148-164 (2006) - [j5]Joshua M. Lucas, Raymond Hoare, Ivan S. Kourtev, Alex K. Jones:
Technology mapping for Field Programmable Gate Arrays using Content-Addressable Memory (CAM). Microprocess. Microsystems 30(7): 445-456 (2006) - [j4]Alex K. Jones, Raymond R. Hoare, Dara Kusic, Justin Stander, Gayatri Mehta, Joshua Fazekas:
A VLIW Processor With Hardware Functions: Increasing Performance While Reducing Power. IEEE Trans. Circuits Syst. II Express Briefs 53-II(11): 1250-1254 (2006) - [j3]Alex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster:
Reducing power while increasing performance with supercisc. ACM Trans. Embed. Comput. Syst. 5(3): 658-686 (2006) - [c23]Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle:
An automated, reconfigurable, low-power RFID tag. DAC 2006: 131-136 - [c22]Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle:
A Field Programmable RFID Tag and Associated Design Flow. FCCM 2006: 165-174 - [c21]Raymond R. Hoare, Ivan S. Kourtev, Alex K. Jones:
Technology Mapping for Field Programmable Gate Arrays using Content-Addressable Memory (CAM). FCCM 2006: 299-300 - [c20]Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones:
A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. FCCM 2006: 309-310 - [c19]Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones:
Design space exploration for low-power reconfigurable fabrics. IPDPS 2006 - [c18]Shuyi Shao, Alex K. Jones, Rami G. Melhem:
A compiler-based communication analysis approach for multiprocessor systems. IPDPS 2006 - [c17]Ying Yu, Raymond R. Hoare, Alex K. Jones, Ralph Sprang:
A hybrid encoding scheme for efficient single-cycle range matching in content addressable memory. ISCAS 2006 - [c16]Raymond R. Hoare, Zhu Ding, Alex K. Jones:
Interconnect routing and scheduling - A near-optimal real-time hardware scheduler for large cardinality crossbar switches. SC 2006: 94 - [c15]Zhu Ding, Raymond R. Hoare, Alex K. Jones, Rami G. Melhem:
Interconnect routing and scheduling - Level-wise scheduling algorithm for fat tree interconnection networks. SC 2006: 96 - 2005
- [j2]Xiaoyong Tang, Tianyi Jiang, Alex K. Jones, Prithviraj Banerjee:
High-Level Synthesis for Low Power Hardware Implementation of Unscheduled Data-Dominated Circuits. J. Low Power Electron. 1(3): 259-272 (2005) - [j1]Raymond R. Hoare, Zhu Ding, Shen Chih Tung, Rami G. Melhem, Alex K. Jones:
A framework for the design, synthesis and cycle-accurate simulation of multiprocessor networks. J. Parallel Distributed Comput. 65(10): 1237-1252 (2005) - [c14]Joshua M. Lucas, Raymond Hoare, Alex K. Jones:
Optimizing Technology Mapping for FPGAs Using CAMs. FCCM 2005: 293-294 - [c13]Alex K. Jones, Raymond Hoare, Dara Kusic, Joshua Fazekas, John Foster:
An FPGA-based VLIW processor with custom hardware execution. FPGA 2005: 107-117 - [c12]Zhu Ding, Raymond R. Hoare, Alex K. Jones, Dan Li, Shou-Kuo Shao, Shen-Chien Tung, Jiang Zheng, Rami G. Melhem:
Switch Design to Enable Predictive Multiplexed Switching in Multiprocessor Networks. IPDPS 2005 - [c11]Dara Kusic, Raymond Hoare, Alex K. Jones, Joshua Fazekas, John Foster:
Extracting Speedup From C-Code With Poor Instruction-Level Parallelism. IPDPS 2005 - [c10]Kevin J. Barker, Alan F. Benner, Raymond R. Hoare, Adolfy Hoisie, Alex K. Jones, Darren J. Kerbyson, Dan Li, Rami G. Melhem, Ramakrishnan Rajamony, Eugen Schenfeld, Shuyi Shao, Craig B. Stunkel, Peter Walker:
On the Feasibility of Optical Circuit Switching for High Performance Computing Systems. SC 2005: 16 - [c9]Xiaoyong Tang, Tianyi Jiang, Alex K. Jones, Prithviraj Banerjee:
Behavioral Synthesis of Data-Dominated Circuits for Minimal Energy Implementation. VLSI Design 2005: 267-273 - 2004
- [c8]Bryan A. Brady, Alex K. Jones, Ivan S. Kourtev:
Efficient CAD development for emerging technologies using Objective-C and Cocoa. ICECS 2004: 369-372 - [c7]Alex K. Jones, Raymond Hoare, Ivan S. Kourtev, Joshua Fazekas, Dara Kusic, John Foster, Sedric Boddie, Ahmed Muaydh:
A 64-way VLIW/SIMD FPGA architecture and design flow. ICECS 2004: 499-502 - [c6]Joshua M. Lucas, Raymond R. Hoare, Ivan S. Kourtev, Alex K. Jones:
LURU: global-scope FPGA technology mapping with content-addressable memories. ICECS 2004: 599-602 - [c5]Rajarshi Mukherjee, Alex K. Jones, Prithviraj Banerjee:
Handling Data Streams while Compiling C Programs onto Hardware. ISVLSI 2004: 271-272 - 2003
- [c4]Alex K. Jones, Prithviraj Banerjee:
An Automated and Power-Aware Framework for Utilization of IP Cores in Hardware Generated from C Descriptions Targeting FPGAs. FCCM 2003: 284-285 - [c3]Alex K. Jones, Prithviraj Banerjee:
An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. FPGA 2003: 244 - 2002
- [c2]Alex K. Jones, Debabrata Bagchi, Satrajit Pal, Xiaoyong Tang, Alok N. Choudhary, Prithviraj Banerjee:
PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations. CASES 2002: 188-197 - 2000
- [c1]Prithviraj Banerjee, U. Nagaraj Shenoy, Alok N. Choudhary, Scott Hauck, C. Bachmann, Malay Haldar, Pramod G. Joisha, Alex K. Jones, Abhay Kanhere, Anshuman Nayak, S. Periyacheri, M. Walkden, David Zaretsky:
A MATLAB Compiler for Distributed, Heterogeneous, Reconfigurable Computing Systems. FCCM 2000: 39-48
Coauthor Index
aka: Raymond Hoare
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-07 20:31 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint