default search action
Benton H. Calhoun
Person information
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j51]Xinjian Liu, Sumanth Kamineni, Jacob Breiholz, Benton H. Calhoun, Shuo Li:
A Sub-μW Energy-Performance-Aware IoT SoC With a Triple-Mode Power Management Unit for System Performance Scaling, Fast DVFS, and Energy Minimization. IEEE J. Solid State Circuits 59(7): 2272-2285 (2024) - [j50]Peng Wang, Rishika Agarwala, Natalie B. Ownby, Xinjian Liu, Benton H. Calhoun:
A 2.3-5.7 μW Tri-Modal Self-Adaptive Photoplethysmography Sensor Interface IC for Heart Rate, SpO2, and Pulse Transit Time Co-Monitoring. IEEE Trans. Biomed. Circuits Syst. 18(3): 564-579 (2024) - [j49]Omar Faruqe, Daehyun Lee, Natalie B. Ownby, Benton H. Calhoun:
A 10-Channel, 120 nW/Channel, Reconfigurable Capacitance-to-Digital Converter for Sub-$\mu$W Robust Wearable Sensing. IEEE Trans. Biomed. Circuits Syst. 18(4): 849-860 (2024) - [j48]Shourya Gupta, Shuo Li, Benton H. Calhoun:
Scalable All-Analog LDOs With Reduced Input Offset Variability Using Digital Synthesis Flow in 65-nm CMOS. IEEE Trans. Very Large Scale Integr. Syst. 32(1): 190-194 (2024) - [c132]Xinjian Liu, Anjali Agrawal, Akiyoshi Tanaka, Benton H. Calhoun:
8.5 A 6nA Fully-Autonomous Triple-Input Hybrid-Inductor-Capacitor Multi-Output Power Management System with Multi-Rail Energy Sharing, All-Rail Cold Startup, and Adaptive Conversion Control for mm-scale Distributed Systems. ISSCC 2024: 152-154 - [c131]Xinjian Liu, Anjali Agrawal, Akiyoshi Tanaka, Benton H. Calhoun:
Distributed Energy Harvesting and Power Management Units for Self-Powered In-Fabric Sensing Networks. MWSCAS 2024: 1372-1377 - 2023
- [j47]Xinjian Liu, Daniel S. Truesdell, Omar Faruqe, Lalitha Parameswaran, Michael Rickley, Andrew Kopanski, Lauren Cantley, Austin Coon, Matthew Bernasconi, Tairan Wang, Benton H. Calhoun:
A 33nW Fully Autonomous SoC With Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-Scale System-in-Fiber. IEEE Trans. Biomed. Circuits Syst. 17(6): 1185-1201 (2023) - [j46]Daniel S. Truesdell, James Boley, Atul Wokhlu, Alain Gravel, David D. Wentzloff, Benton H. Calhoun:
Modeling and Design of Cold-Start Charge Pumps for Photovoltaic Energy Harvesters. IEEE Trans. Circuits Syst. I Regul. Pap. 70(11): 4334-4345 (2023) - [c130]Omar Faruqe, Daehyun Lee, Natalie B. Ownby, Benton H. Calhoun:
A 10-Channel, 1.2 µW, Reconfigurable Capacitance-to-Digital Converter for Low-Power, Wearable Healthcare Applications. BioCAS 2023: 1-5 - [c129]Xinjian Liu, Zhenghong Chen, Nugaira Gahan Mim, Anjali Agrawal, Benton H. Calhoun:
A 1pJ/bit Bypass-SPI Interconnect Bus with I2C Conversion Capability and 2.3nW Standby Power for Fabric Sensing Networks. BioCAS 2023: 1-5 - [c128]Linsheng Zhang, Divya Duvvuri, Suprio Bhattacharya, Anjana Dissanayake, Xinjian Liu, Henry L. Bishop, Yaobin Zhang, Travis N. Blalock, Benton H. Calhoun, Steven M. Bowers:
A -102dBm Sensitivity, 2.2μA Packet-Level-Duty-cycled Wake-Up Receiver with ADPLL achieving -30dB SIR. CICC 2023: 1-2 - [c127]Sumanth Kamineni, Arvind K. Sharma, Ramesh Harjani, Sachin S. Sapatnekar, Benton H. Calhoun:
AuxcellGen: A Framework for Autonomous Generation of Analog and Memory Unit Cells. DATE 2023: 1-6 - [c126]Xinjian Liu, Daniel S. Truesdell, Omar Faruqe, Lalitha Parameswaran, Michael Rickley, Andrew Kopanski, Lauren Cantley, Austin Coon, Matthew Bernasconi, Tairan Wang, Benton H. Calhoun:
A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber. ISSCC 2023: 236-237 - [c125]Christopher J. Lukas, Farah B. Yahya, Kuo-Ken Huang, Jim Boley, Daniel S. Truesdell, Jacob Breiholz, Atul Wokhlu, Kyle Craig, Jonathan K. Brown, Andrew Fitting, William Moore, Andy Shih, Alice Wang, Alain Gravel, David D. Wentzloff, Benton H. Calhoun:
A 2.19µW Self-Powered SoC with Integrated Multimodal Energy Harvesting, Dual-Channel up to -92dBm WRX and Energy-Aware Subsystem. ISSCC 2023: 238-239 - 2022
- [j45]Anjana Dissanayake, Henry L. Bishop, Steven M. Bowers, Benton H. Calhoun:
A 2.4 GHz-91.5 dBm Sensitivity Within-Packet Duty-Cycled Wake-Up Receiver. IEEE J. Solid State Circuits 57(3): 917-931 (2022) - [j44]Xinjian Liu, Benton H. Calhoun, Shuo Li:
A Sub-nW 93% Peak Efficiency Buck Converter With Wide Dynamic Range, Fast DVFS, and Asynchronous Load-Transient Control. IEEE J. Solid State Circuits 57(7): 2054-2067 (2022) - [j43]Natalie B. Ownby, Katheryn A. Flynn, Benton H. Calhoun:
Modeling Energy Aware Photoplethysmography for Personalized Healthcare Applications. IEEE Trans. Biomed. Circuits Syst. 16(4): 570-579 (2022) - [c124]Kuo-Ken Huang, Jonathan K. Brown, Richard K. Sawyer, Christopher J. Lukas, Farah B. Yahya, Alice Wang, Nathan E. Roberts, Benton H. Calhoun, David D. Wentzloff:
ULP Receivers in Self-Powered Industrial loT Applications: Challenges and Prospects. CICC 2022: 1-8 - [c123]Peng Wang, Benton H. Calhoun:
A Photoplethysmography Analog Front-End Model for Rapid Design of Personalized Healthcare Hardware. ISCAS 2022: 1145-1149 - [c122]Shuo Li, Xinjian Liu, Benton H. Calhoun:
A 32nA Fully Autonomous Multi-Input Single-Inductor Multi-Output Energy-Harvesting and Power-Management Platform with 1.2×105 Dynamic Range, Integrated MPPT, and Multi-Modal Cold Start-Up. ISSCC 2022: 1-3 - [c121]Xinjian Liu, Sumanth Kamineni, Jacob Breiholz, Benton H. Calhoun, Shuo Li:
A 194nW Energy-Performance-Aware loT SoC Employing a 5.2nW 92.6% Peak Efficiency Power Management Unit for System Performance Scaling, Fast DVFS and Energy Minimization. ISSCC 2022: 1-3 - [c120]Daniel S. Truesdell, Xinjian Liu, Jacob Breiholz, Shourya Gupta, Shuo Li, Benton H. Calhoun:
NanoWattch: A Self-Powered 3-nW RISC-V SoC Operable from 160mV Photovoltaic Input with Integrated Temperature Sensing and Adaptive Performance Scaling. VLSI Technology and Circuits 2022: 210-211 - 2021
- [j42]Rishika Agarwala, Peng Wang, Henry L. Bishop, Anjana Dissanayake, Benton H. Calhoun:
A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring. IEEE J. Solid State Circuits 56(4): 1058-1070 (2021) - [j41]Daniel S. Truesdell, Shuo Li, Benton H. Calhoun:
A 0.5-V 560-kHz 18.8-fJ/Cycle On-Chip Oscillator With 96.1-ppm/°C Steady-State Stability Using a Duty-Cycled Digital Frequency-Locked Loop. IEEE J. Solid State Circuits 56(4): 1241-1253 (2021) - [j40]Xing Chen, Abdullah Mohammed Alghaihab, Yao Shi, Daniel S. Truesdell, Benton H. Calhoun, David D. Wentzloff:
A Crystal-Less BLE Transmitter With Clock Recovery From GFSK-Modulated BLE Packets. IEEE J. Solid State Circuits 56(7): 1963-1974 (2021) - [j39]Shourya Gupta, Benton H. Calhoun:
Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs. IEEE Trans. Circuits Syst. I Regul. Pap. 68(3): 1171-1182 (2021) - [j38]Shourya Gupta, Benton H. Calhoun:
Dynamic Write VMIN and Yield Estimation for Nanoscale SRAMs. IEEE Trans. Circuits Syst. I Regul. Pap. 68(12): 5038-5048 (2021) - [c119]Rishika Agarwala, Peng Wang, Benton H. Calhoun:
A 405nW/4.8µW Event-Driven Multi-Modal (V/I/R/C) Sensor Interface for Physiological and Environmental Co-Monitoring. BioCAS 2021: 1-4 - [c118]Katheryn A. Flynn, Natalie B. Ownby, Peng Wang, Benton H. Calhoun:
Modeling Energy-Aware Photoplethysmography Hardware for Personalized Health Care Applications Across Skin Phototypes. BioCAS 2021: 1-6 - [c117]Sumanth Kamineni, Shourya Gupta, Benton H. Calhoun:
MemGen: An Open-Source Framework for Autonomous Generation of Memory Macros. CICC 2021: 1-2 - [c116]Xinjian Liu, Shuo Li, Benton H. Calhoun:
An 802pW 93% Peak Efficiency Buck Converter with 5.5×106 Dynamic Range Featuring Fast DVFS and Asynchronous Load-Transient Control. ESSCIRC 2021: 347-350 - [c115]Anjana Dissanayake, Steven M. Bowers, Benton H. Calhoun:
Stacked Transconductance Boosting for Ultra-Low Power 2.4GHz RF Front-End Design. ISCAS 2021: 1-4 - [c114]Antik Mallick, Mohammad Khairul Bashar, Daniel S. Truesdell, Benton H. Calhoun, Siddharth Joshi, Nikhil Shukla:
Graph Coloring Using Coupled Oscillator-Based Dynamical Systems. ISCAS 2021: 1-5 - [c113]Kuo-Ken Huang, Jonathan K. Brown, Nicholas Collins, Richard K. Sawyer, Farah B. Yahya, Alice Wang, Nathan E. Roberts, Benton H. Calhoun, David D. Wentzloff:
A Fully Integrated 2.7µW -70.2dBm-Sensitivity Wake-Up Receiver with Charge-Domain Analog Front-End, -16.5dB-SIR, FEC and Cryptographic Checksum. ISSCC 2021: 306-308 - [c112]Henry L. Bishop, Anjana Dissanayake, Steven M. Bowers, Benton H. Calhoun:
21.5 An Integrated 2.4GHz -91.5dBm-Sensitivity Within-Packet Duty-Cycled Wake-Up Receiver Achieving 2μ W at 100ms Latency. ISSCC 2021: 310-312 - 2020
- [c111]Shuo Li, Benton H. Calhoun:
Sub-microAmp Energy Harvesting and Power Management Units for Self-Powered IoT SoCs: Analog vs. Digital Implementations. CICC 2020: 1-8 - [c110]Anjana Dissanayake, Jesse Moody, Henry L. Bishop, Daniel S. Truesdell, Henry Muhlbauer, Ruochen Lu, Anming Gao, Songbin Gong, Benton H. Calhoun, Steven M. Bowers:
A- 108dBm Sensitivity, -28dB SIR, 130nW to 41µW, Digitally Reconfigurable Bit-Level Duty-Cycled Wakeup and Data Receiver. CICC 2020: 1-4 - [c109]Shuo Li, Jacob Breiholz, Sumanth Kamineni, Jaeho Im, David D. Wentzloff, Benton H. Calhoun:
An 85 nW IoT Node-Controlling SoC for MELs Power-Mode Management and Phantom Energy Reduction. ISCAS 2020: 1-5 - [c108]Henry L. Bishop, Peng Wang, Benton H. Calhoun:
Application-Driven Model of a PPG Sensing Modality for the Informed Design of Self-Powered, Wearable Healthcare Systems. ISCAS 2020: 1-5 - [c107]Rishika Agarwala, Peng Wang, Akhilesh Tanneeru, Bongmook Lee, Veena Misra, Benton H. Calhoun:
An 88.6nW ozone pollutant sensing interface IC with a 159 dB dynamic range. ISLPED 2020: 31-36 - [c106]Jonathan K. Brown, David Abdallah, Jim Boley, Nicholas Collins, Kyle Craig, Greg Glennon, Kuo-Ken Huang, Christopher J. Lukas, William Moore, Richard K. Sawyer, Yousef Shakhsheer, Farah B. Yahya, Alice Wang, Nathan E. Roberts, David D. Wentzloff, Benton H. Calhoun:
27.1 A 65nm Energy-Harvesting ULP SoC with 256kB Cortex-M0 Enabling an 89.1µW Continuous Machine Health Monitoring Wireless Self-Powered System. ISSCC 2020: 420-422 - [c105]Pouyan Bassirian, Divya Duvvuri, Daniel S. Truesdell, Ningxi Liu, Benton H. Calhoun, Steven M. Bowers:
30.1 A Temperature-Robust 27.6nW -65dBm Wakeup Receiver at 9.6GHz X-Band. ISSCC 2020: 460-462 - [c104]Abdullah Mohammed Alghaihab, Xing Chen, Yao Shi, Daniel S. Truesdell, Benton H. Calhoun, David D. Wentzloff:
30.7 A Crystal-Less BLE Transmitter with -86dBm Freq µ ency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet. ISSCC 2020: 472-474 - [c103]Tutu Ajayi, Sumanth Kamineni, Morteza Fayazi, Yaswanth K. Cherivirala, Kyumin Kwon, Shourya Gupta, Wenbo Duan, Jeongsup Lee, Chien-Hen Chen, Mehdi Saligane, Dennis Sylvester, David T. Blaauw, Ronald Dreslinski Jr., Benton H. Calhoun, David D. Wentzloff:
Fully-Autonomous SoC Synthesis Using Customizable Cell-Based Analog and Mixed-Signal Circuits Generation. VLSI-SoC (Selected Papers) 2020: 65-85 - [c102]Tutu Ajayi, Sumanth Kamineni, Yaswanth K. Cherivirala, Morteza Fayazi, Kyumin Kwon, Mehdi Saligane, Shourya Gupta, Chien-Hen Chen, Dennis Sylvester, David T. Blaauw, Ronald G. Dreslinski, Benton H. Calhoun, David D. Wentzloff:
An Open-source Framework for Autonomous SoC Design with Analog Block Generation. VLSI-SOC 2020: 141-146 - [c101]Anjana Dissanayake, Henry L. Bishop, Jesse Moody, Henry Muhlbauer, Benton H. Calhoun, Steven M. Bowers:
A Multichannel, MEMS-Less -99dBm 260nW Bit-Level Duty Cycled Wakeup Receiver. VLSI Circuits 2020: 1-2 - [c100]Shourya Gupta, Daniel S. Truesdell, Benton H. Calhoun:
A 65nm 16kb SRAM with 131.5pW Leakage at 0.9V for Wireless IoT Sensor Nodes. VLSI Circuits 2020: 1-2 - [c99]Daniel S. Truesdell, Shuo Li, Benton H. Calhoun:
A 0.5V 560kHz 18.8fJ/Cycle Ultra-Low Energy Oscillator in 65nm CMOS with 96.1ppm/°C Stability using a Duty-Cycled Digital Frequency-Locked Loop. VLSI Circuits 2020: 1-2 - [c98]Peng Wang, Rishika Agarwala, Henry L. Bishop, Anjana Dissanayake, Benton H. Calhoun:
A 785nW Multimodal (V/I/R) Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring. VLSI Circuits 2020: 1-2 - [i1]Mohammad Khairul Bashar, Antik Mallick, Daniel S. Truesdell, Benton H. Calhoun, Siddharth Joshi, Nikhil Shukla:
Experimental Demonstration of a Reconfigurable Coupled Oscillator Platform to Solve the Max-Cut Problem. CoRR abs/2008.04305 (2020)
2010 – 2019
- 2019
- [j37]Xing Chen, Jacob Breiholz, Farah B. Yahya, Christopher J. Lukas, Hun-Seok Kim, Benton H. Calhoun, David D. Wentzloff:
Analysis and Design of an Ultra-Low-Power Bluetooth Low-Energy Transmitter With Ring Oscillator-Based ADPLL and 4 $\times$ Frequency Edge Combiner. IEEE J. Solid State Circuits 54(5): 1339-1350 (2019) - [j36]Ningxi Liu, Rishika Agarwala, Anjana Dissanayake, Daniel S. Truesdell, Sumanth Kamineni, Benton H. Calhoun:
A 2.5 ppm/°C 1.05-MHz Relaxation Oscillator With Dynamic Frequency-Error Compensation and Fast Start-Up Time. IEEE J. Solid State Circuits 54(7): 1952-1959 (2019) - [j35]Abdullah Mohammed Alghaihab, Yao Shi, Jacob Breiholz, Hun-Seok Kim, Benton H. Calhoun, David D. Wentzloff:
Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping. IEEE J. Solid State Circuits 54(7): 2019-2027 (2019) - [j34]Jesse Moody, Pouyan Bassirian, Abhishek Roy, Ningxi Liu, N. Scott Barker, Benton H. Calhoun, Steven M. Bowers:
Interference Robust Detector-First Near-Zero Power Wake-Up Receiver. IEEE J. Solid State Circuits 54(8): 2149-2162 (2019) - [j33]Christopher J. Lukas, Farah B. Yahya, Jacob Breiholz, Abhishek Roy, Xing Chen, Harsh N. Patel, Ningxi Liu, Avish Kosari, Shuo Li, Divya Akella Kamakshi, Oluseyi A. Ayorinde, David D. Wentzloff, Benton H. Calhoun:
A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications. IEEE Trans. Biomed. Circuits Syst. 13(2): 271-281 (2019) - [j32]Shourya Gupta, Kirti Gupta, Benton H. Calhoun, Neeta Pandey:
Low-Power Near-Threshold 10T SRAM Bit Cells With Enhanced Data-Independent Read Port Leakage for Array Augmentation in 32-nm CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(3): 978-988 (2019) - [c97]Daniel S. Truesdell, Benton H. Calhoun:
A 640 pW 22 pJ/sample Gate Leakage-Based Digital CMOS Temperature Sensor with 0.25°C Resolution. CICC 2019: 1-4 - [c96]Omar Abdelatty, Henry L. Bishop, Yao Shi, Xing Chen, Abdullah Mohammed Alghaihab, Benton H. Calhoun, David D. Wentzloff:
A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator. ESSCIRC 2019: 377-380 - [c95]Shuo Li, Benton H. Calhoun:
A 745pA Hybrid Asynchronous Binary-Searching and Synchronous Linear-Searching Digital LDO with 3.8×105 Dynamic Load Range, 99.99% Current Efficiency, and 2mV Output Voltage Ripple. ISSCC 2019: 232-234 - [c94]Jesse Moody, Anjana Dissanayake, Henry L. Bishop, Ruochen Lu, Ningxi Liu, Divya Duvvuri, Anming Gao, Daniel S. Truesdell, N. Scott Barker, Songbin Gong, Benton H. Calhoun, Steven M. Bowers:
A -106dBm 33nW Bit-Level Duty-Cycled Tuned RF Wake-up Receiver. VLSI Circuits 2019: 86- - [c93]Shuo Li, Abhishek Roy, Benton H. Calhoun:
A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated MPPT Achieving 417% Energy-Extraction Improvement and 97% Tracking Efficiency. VLSI Circuits 2019: 324- - [c92]Arijit Banerjee, Benton H. Calhoun:
A Double Pumped Single-Line-Cache SRAM Architecture for Ultra-low Energy IoT and Machine Learning Applications. VLSID 2019: 299-304 - 2018
- [c91]Ningxi Liu, Rishika Agarwala, Anjana Dissanayake, Daniel S. Truesdell, Sumanth Kamineni, Xing Chen, David D. Wentzloff, Benton H. Calhoun:
A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 µs Start-up Time. ESSCIRC 2018: 150-153 - [c90]Oluseyi A. Ayorinde, He Qi, Benton H. Calhoun:
FGC: A Tool-flow for Generating and Configuring Custom FPGAs(Abstract Only). FPGA 2018: 294 - [c89]Henry L. Bishop, Peng Wang, Dawei Fan, John C. Lach, Benton H. Calhoun:
Lighting IoT Test Environment (LITE) Platform: Evaluating Light-Powered, Energy HarvestingEmbedded Systems. GIoTS 2018: 1-6 - [c88]Christopher J. Lukas, Farah B. Yahya, Benton H. Calhoun:
An Ultra-low Power System On Chip Enabling DVS with SR Level Shifting Latches. ISCAS 2018: 1-4 - [c87]Arijit Banerjee, Sumanth Kamineni, Benton H. Calhoun:
Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs for Low-VMIN IoT and Cognitive Applications. ISLPED 2018: 32:1-32:6 - [c86]Divya Akella Kamakshi, Xinfei Guo, Harsh N. Patel, Mircea R. Stan, Benton H. Calhoun:
A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs. ISQED 2018: 341-346 - [c85]Jesse Moody, Pouyan Bassirian, Abhishek Roy, Ningxi Liu, Stephen Pancrazio, N. Scott Barker, Benton H. Calhoun, Steven M. Bowers:
A -76dBm 7.4nW wakeup radio with automatic offset compensation. ISSCC 2018: 452-454 - 2017
- [j31]Nasim Shafiee, Shikhar Tewari, Benton H. Calhoun, Aatmesh Shrivastava:
Infrastructure Circuits for Lifetime Improvement of Ultra-Low Power IoT Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2598-2610 (2017) - [c84]Christopher J. Lukas, Benton H. Calhoun, Raj Bhakta, Jesse S. Jur:
A 3.77 nW, 11.4 fJ/b/mm link for reliable wireline communication in ultra-low power on-body sensor networks. BioCAS 2017: 1-4 - [c83]Abhishek Roy, Benton H. Calhoun:
A 71% efficient energy harvesting and power management unit for sub-μW power biomedical applications. BioCAS 2017: 1-4 - [c82]Farah B. Yahya, Christopher J. Lukas, Benton H. Calhoun, Steven Bartling:
FAR: A 4.12μW ferro-electric auto-recovery for battery-less BSN SoCs. BioCAS 2017: 1-4 - [c81]Arijit Banerjee, Ningxi Liu, Harsh N. Patel, Benton H. Calhoun, John W. Poulton, C. Thomas Gray:
A 256kb 6T self-tuning SRAM with extended 0.38V-1.2V operating range using multiple read/write assists and VMIN tracking canary sensors. CICC 2017: 1-4 - [c80]Pouyan Bassirian, Jesse Moody, Anming Gao, Tomas Manzaneque, Benton H. Calhoun, N. Scott Barker, Songbin Gong, Steven M. Bowers:
A passive 461 MHz AlN-CMOS RF front-end for event-driven wakeup receivers. IEEE SENSORS 2017: 1-3 - [c79]Harsh N. Patel, Benton H. Calhoun, Randy W. Mann:
Soft errors: Reliability challenges in energy-constrained ULP body sensor networks applications. IOLTS 2017: 209-210 - [c78]Christopher J. Lukas, Farah B. Yahya, Benton H. Calhoun:
Modeling trans-threshold correlations for reducing functional test time in ultra-low power systems. ITC 2017: 1-10 - [c77]Harsh N. Patel, Farah B. Yahya, Benton H. Calhoun:
Subthreshold SRAM: Challenges, design decisions, and solutions. MWSCAS 2017: 321-324 - [c76]Jacob Breiholz, Farah B. Yahya, Christopher J. Lukas, Xing Chen, Kevin Leach, David D. Wentzloff, Benton H. Calhoun:
A 4.4 nW lossless sensor data compression accelerator for 2.9x system power reduction in wireless body sensors. MWSCAS 2017: 1041-1044 - 2016
- [j30]Aatmesh Shrivastava, Divya Akella Kamakshi, Benton H. Calhoun:
A 1.5 nW, 32.768 kHz XTAL Oscillator Operational From a 0.3 V Supply. IEEE J. Solid State Circuits 51(3): 686-696 (2016) - [c75]Divya Akella Kamakshi, Matthew Fojtik, Brucek Khailany, Sudhir S. Kudva, Yaping Zhou, Benton H. Calhoun:
Modeling and Analysis of Power Supply Noise Tolerance with Fine-Grained GALS Adaptive Clocks. ASYNC 2016: 75-82 - [c74]Harsh N. Patel, Abhishek Roy, Farah B. Yahya, Ningxi Liu, Benton H. Calhoun, Kazuyuki Kumeno, Makoto Yasuda, Akihiko Harada, Taiji Ema:
A 55nm Ultra Low Leakage Deeply Depleted Channel technology optimized for energy minimization in subthreshold SRAM and logic. ESSCIRC 2016: 45-48 - [c73]He Qi, Oluseyi A. Ayorinde, Benton H. Calhoun:
An energy-efficient near/sub-threshold FPGA interconnect architecture using dynamic voltage scaling and power-gating. FPT 2016: 20-27 - [c72]Abhishek Roy, Benton H. Calhoun:
Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systems. ISCAS 2016: 273-276 - [c71]Harsh N. Patel, Farah B. Yahya, Benton H. Calhoun:
Optimizing SRAM bitcell reliability and energy for IoT applications. ISQED 2016: 12-17 - [c70]Abhishek Roy, Peter J. Grossmann, Steven A. Vitale, Benton H. Calhoun:
A 1.3µW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications. ISQED 2016: 158-162 - [c69]Nathan E. Roberts, Kyle Craig, Aatmesh Shrivastava, Stuart N. Wooters, Yousef Shakhsheer, Benton H. Calhoun, David D. Wentzloff:
26.8 A 236nW -56.5dBm-sensitivity bluetooth low-energy wakeup receiver with energy harvesting in 65nm CMOS. ISSCC 2016: 450-451 - [c68]Ningxi Liu, Benton H. Calhoun:
Design Optimization of Register File Throughput and Energy Using a Virtual Prototyping (ViPro) Tool. ISVLSI 2016: 535-540 - [c67]Harsh N. Patel, Farah B. Yahya, Benton H. Calhoun:
Improving Reliability and Energy Requirements of Memory in Body Sensor Networks. VLSID 2016: 561-562 - 2015
- [j29]Aatmesh Shrivastava, Nathan E. Roberts, Osama Ullah Khan, David D. Wentzloff, Benton H. Calhoun:
A 10 mV-Input Boost Converter With Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting With 220 mV Cold-Start and -14.5 dBm, 915 MHz RF Kick-Start. IEEE J. Solid State Circuits 50(8): 1820-1832 (2015) - [j28]Veena Misra, Alper Bozkurt, Benton H. Calhoun, Thomas N. Jackson, Jesse Jur, John C. Lach, Bongmook Lee, John Muth, Ömer Oralkan, Mehmet Ozturk, Susan Trolier-McKinstry, Daryoosh Vashaee, David D. Wentzloff, Yong Zhu:
Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing. Proc. IEEE 103(4): 665-681 (2015) - [j27]Abhishek Roy, Alicia Klinefelter, Farah B. Yahya, Xing Chen, Luisa Patricia Gonzalez-Guerrero, Christopher J. Lukas, Divya Akella Kamakshi, James Boley, Kyle Craig, Muhammad Faisal, Seunghyun Oh, Nathan E. Roberts, Yousef Shakhsheer, Aatmesh Shrivastava, Dilip P. Vasudevan, David D. Wentzloff, Benton H. Calhoun:
A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems. IEEE Trans. Biomed. Circuits Syst. 9(6): 862-874 (2015) - [j26]Jim Boley, Peter Beshay, Benton H. Calhoun:
Virtual Prototyper (ViPro): An SRAM Design Tool for Yield Constrained Optimization. IEEE Trans. Very Large Scale Integr. Syst. 23(12): 3109-3113 (2015) - [c66]Veena Misra, Benton H. Calhoun, Shekhar Bhansali, John C. Lach, Suman Datta, Mehmet Ozturk, Alper Bozkurt, Ömer Oralkan, Jason Strohmaier:
Self-powered wearable sensor platforms for wellness. CASES 2015: 187 - [c65]Arijit Banerjee, Jacob Breiholz, Benton H. Calhoun:
A 130nm canary SRAM for SRAM dynamic write VMIN tracking across voltage, frequency, and temperature variations. CICC 2015: 1-4 - [c64]Oluseyi A. Ayorinde, He Qi, Yu Huang, Benton H. Calhoun:
Using island-style bi-directional intra-CLB routing in low-power FPGAs. FPL 2015: 1-7 - [c63]He Qi, Oluseyi A. Ayorinde, Yu Huang, Benton H. Calhoun:
Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs. FPL 2015: 1-4 - [c62]Benton H. Calhoun, David D. Wentzloff:
Ultra-low power wireless SoCs enabling a batteryless IoT. Hot Chips Symposium 2015: 1-45 - [c61]Alicia Klinefelter, Joseph F. Ryan, James W. Tschanz, Benton H. Calhoun:
Error-energy analysis of hardware logarithmic approximation methods for low power applications. ISCAS 2015: 2361-2364 - [c60]Christopher J. Lukas, Benton H. Calhoun:
A 0.38 pj/bit 1.24 nW chip-to-chip serial link for ultra-low power systems. ISCAS 2015: 2860-2863 - [c59]James Boley, Benton H. Calhoun:
Stack based sense amplifier designs for reducing input-referred offset. ISQED 2015: 1-4 - [c58]Alicia Klinefelter, Nathan E. Roberts, Yousef Shakhsheer, Patricia González, Aatmesh Shrivastava, Abhishek Roy, Kyle Craig, Muhammad Faisal, James Boley, Seunghyun Oh, Yanqing Zhang, Divya Akella, David D. Wentzloff, Benton H. Calhoun:
21.3 A 6.45μW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios. ISSCC 2015: 1-3 - [c57]Aatmesh Shrivastava, Kyle Craig, Nathan E. Roberts, David D. Wentzloff, Benton H. Calhoun:
5.4 A 32nW bandgap reference voltage operational from 0.5V supply for ultra-low power systems. ISSCC 2015: 1-3 - 2014
- [j25]Kyle Craig, Yousef Shakhsheer, Saad Arrabi, Sudhanshu Khanna, John C. Lach, Benton H. Calhoun:
A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance. IEEE J. Solid State Circuits 49(2): 545-552 (2014) - [c56]Aatmesh Shrivastava, David D. Wentzloff, Benton H. Calhoun:
A 10mV-input boost converter with inductor peak current control and zero detection for thermoelectric energy harvesting. CICC 2014: 1-4 - [c55]Saad Arrabi, D. Moore, L. Wang, Kevin Skadron, Benton H. Calhoun, John C. Lach, Brett H. Meyer:
Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems. FCCM 2014: 236 - [c54]James Boley, Benton H. Calhoun, Vikas Chandra, Robert C. Aitken:
Modeling SRAM dynamic VMIN. ICICDT 2014: 1-4 - [c53]Peter Beshay, Vikas Chandra, Rob Aitken, Benton H. Calhoun:
A digital dynamic write margin sensor for low power read/write operations in 28nm SRAM. ISLPED 2014: 307-310 - [c52]Arijit Banerjee, Mahmut E. Sinangil, John W. Poulton, C. Thomas Gray, Benton H. Calhoun:
A reverse write assist circuit for SRAM dynamic write VMIN tracking using canary SRAMs. ISQED 2014: 1-8 - [c51]Yanqing Zhang, Benton H. Calhoun:
Fast, accurate variation-aware path timing computation for sub-threshold circuits. ISQED 2014: 243-248 - [c50]Aatmesh Shrivastava, Yogesh K. Ramadass, Sudhanshu Khanna, Steven Bartling, Benton H. Calhoun:
A 1.2µW SIMO energy harvesting and power management unit with constant peak inductor current control achieving 83-92% efficiency across wide input and output voltages. VLSIC 2014: 1-2 - [c49]Sudhanshu Khanna, Satyanand Nalam, Benton H. Calhoun:
Pipelined Non-strobed Sensing Scheme for Lowering BL Swing in Nano-scale Memories. VLSID 2014: 139-144 - 2013
- [j24]Yanqing Zhang, Fan Zhang, Yousef Shakhsheer, Jason Silver, Alicia Klinefelter, Manohar Nagaraju, James Boley, Jagdish Nayayan Pandey, Aatmesh Shrivastava, Eric J. Carlson, Austin Wood, Benton H. Calhoun, Brian P. Otis:
A Batteryless 19 µW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications. IEEE J. Solid State Circuits 48(1): 199-213 (2013) - [c48]Benton H. Calhoun, Kyle Craig:
Flexible on-chip power delivery for energy efficient heterogeneous systems. DAC 2013: 160:1-160:6 - [c47]James Boley, Vikas Chandra, Robert C. Aitken, Benton H. Calhoun:
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write VMIN. DATE 2013: 1819-1824 - [c46]Oluseyi A. Ayorinde, Benton H. Calhoun:
Circuit optimizations to minimize energy in the global interconnect of a low-power-FPGA (abstract only). FPGA 2013: 277 - [c45]Aatmesh Shrivastava, Jagdish Nayayan Pandey, Brian P. Otis, Benton H. Calhoun:
A 50nW, 100kbps Clock/Data Recovery Circuit in an FSK RF Receiver on a Body Sensor Node. VLSI Design 2013: 72-75 - 2012
- [j23]Benton H. Calhoun, Anantha P. Chandrakasan, Brian P. Otis, Naveen Verma, Hoi-Jun Yoo:
Guest Editorial Emerging Circuits and Systems Techniques for Ultra-Low Power Body Sensor Networks. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(1): 1-3 (2012) - [j22]Benton H. Calhoun, John C. Lach, John A. Stankovic, David D. Wentzloff, Kamin Whitehouse, Adam T. Barth, Jonathan K. Brown, Qiang Li, Seunghyun Oh, Nathan E. Roberts, Yanqing Zhang:
Body Sensor Networks: A Holistic Approach From Silicon to Users. Proc. IEEE 100(1): 91-106 (2012) - [j21]Alicia Klinefelter, Yanqing Zhang, Brian P. Otis, Benton H. Calhoun:
A Programmable 34 nW/Channel Sub-Threshold Signal Band Power Extractor on a Body Sensor Node SoC. IEEE Trans. Circuits Syst. II Express Briefs 59-II(12): 937-941 (2012) - [j20]Randy W. Mann, Terry B. Hook, Phung T. Nguyen, Benton H. Calhoun:
Nonrandom Device Mismatch Considerations in Nanoscale SRAM. IEEE Trans. Very Large Scale Integr. Syst. 20(7): 1211-1220 (2012) - [j19]Stuart N. Wooters, Adam C. Cabe, Zhenyu Qi, Jiajing Wang, Randy W. Mann, Benton H. Calhoun, Mircea R. Stan, Travis N. Blalock:
Tracking On-Chip Age Using Distributed, Embedded Sensors. IEEE Trans. Very Large Scale Integr. Syst. 20(11): 1974-1985 (2012) - [c44]Yousef Shakhsheer, Yanqing Zhang, Brian P. Otis, Benton H. Calhoun:
A custom processor for node and power management of a battery-less body sensor node in 130nm CMOS. CICC 2012: 1-4 - [c43]Aatmesh Shrivastava, Benton H. Calhoun:
A 150nW, 5ppm/o C, 100kHz On-Chip clock source for ultra low power SoCs. CICC 2012: 1-4 - [c42]Kyle Craig, Yousef Shakhsheer, Sudhanshu Khanna, Saad Arrabi, John C. Lach, Benton H. Calhoun, Stephen Kosonocky:
A programmable resistive power grid for post-fabrication flexibility and energy tradeoffs. ISLPED 2012: 167-172 - [c41]Kyle Craig, Yousef Shakhsheer, Benton H. Calhoun:
Optimal power switch design for dynamic voltage scaling from high performance to subthreshold operation. ISLPED 2012: 221-224 - [c40]Aatmesh Shrivastava, John C. Lach, Benton H. Calhoun:
A charge pump based receiver circuit for voltage scaled interconnect. ISLPED 2012: 327-332 - [c39]Fan Zhang, Yanqing Zhang, Jason Silver, Yousef Shakhsheer, Manohar Nagaraju, Alicia Klinefelter, Jagdish Nayayan Pandey, James Boley, Eric J. Carlson, Aatmesh Shrivastava, Brian P. Otis, Benton H. Calhoun:
A batteryless 19μW MICS/ISM-band energy harvesting body area sensor node SoC. ISSCC 2012: 298-300 - 2011
- [j18]Satyanand Nalam, Benton H. Calhoun:
5T SRAM With Asymmetric Sizing for Improved Read Stability. IEEE J. Solid State Circuits 46(10): 2431-2442 (2011) - [j17]Jiajing Wang, A. Hoefler, Benton H. Calhoun:
An Enhanced Canary-Based System With BIST for SRAM Standby Power Reduction. IEEE Trans. Very Large Scale Integr. Syst. 19(5): 909-914 (2011) - [j16]Jiajing Wang, Benton H. Calhoun:
Minimum Supply Voltage and Yield Estimation for Large SRAMs Under Parametric Variations. IEEE Trans. Very Large Scale Integr. Syst. 19(11): 2120-2125 (2011) - [c38]Brett H. Meyer, Benton H. Calhoun, John C. Lach, Kevin Skadron:
Cost-effective safety and fault localization using distributed temporal redundancy. CASES 2011: 125-134 - [c37]Yousef Shakhsheer, Sudhanshu Khanna, Kyle Craig, Saad Arrabi, John C. Lach, Benton H. Calhoun:
A 90nm data flow processor demonstrating fine grained DVS for energy efficient operation from 0.25V to 1.2V. CICC 2011: 1-4 - [c36]Satyanand Nalam, Vikas Chandra, Robert C. Aitken, Benton H. Calhoun:
Dynamic write limited minimum operating voltage for nanoscale SRAMs. DATE 2011: 467-472 - [c35]Brett H. Meyer, Nishant J. George, Benton H. Calhoun, John C. Lach, Kevin Skadron:
Reducing the cost of redundant execution in safety-critical systems using relaxed dedication. DATE 2011: 1249-1254 - [c34]Joseph F. Ryan, Sudhanshu Khanna, Benton H. Calhoun:
An analytical model for performance yield of nanoscale SRAM accounting for the sense amplifier strobe signal. ISLPED 2011: 297-302 - [c33]Randy W. Mann, Benton H. Calhoun:
New category of ultra-thin notchless 6T SRAM cell layout topologies for sub-22nm. ISQED 2011: 425-430 - [c32]Sudhanshu Khanna, Kyle Craig, Yousef Shakhsheer, Saad Arrabi, John C. Lach, Benton H. Calhoun:
Stepped Supply Voltage Switching for energy constrained systems. ISQED 2011: 683-688 - 2010
- [j15]Benton H. Calhoun, David M. Brooks:
Can Subthreshold and Near-Threshold Circuits Go Mainstream? IEEE Micro 30(4): 80-85 (2010) - [j14]Benton H. Calhoun, Joseph F. Ryan, Sudhanshu Khanna, Mateja Putic, John C. Lach:
Flexible Circuits and Architectures for Ultralow Power. Proc. IEEE 98(2): 267-282 (2010) - [j13]Jiajing Wang, Amith Singhee, Rob A. Rutenbar, Benton H. Calhoun:
Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(12): 1908-1920 (2010) - [j12]Stuart N. Wooters, Benton H. Calhoun, Travis N. Blalock:
An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS. IEEE Trans. Circuits Syst. II Express Briefs 57-II(4): 290-294 (2010) - [c31]Joseph F. Ryan, Benton H. Calhoun:
A sub-threshold FPGA with low-swing dual-VDD interconnect in 90nm CMOS. CICC 2010: 1-4 - [c30]Jiajing Wang, Satyanand Nalam, Zhenyu Qi, Randy W. Mann, Mircea R. Stan, Benton H. Calhoun:
Improving SRAM Vmin and yield by using variation-aware BTI stress. CICC 2010: 1-4 - [c29]Satyanand Nalam, Mudit Bhargava, Ken Mai, Benton H. Calhoun:
Virtual prototyper (ViPro): an early design space exploration and optimization tool for SRAM designers. DAC 2010: 138-143 - [c28]Zhenyu Qi, Jiajing Wang, Adam C. Cabe, Stuart N. Wooters, Travis N. Blalock, Benton H. Calhoun, Mircea R. Stan:
SRAM-based NBTI/PBTI sensor system design. DAC 2010: 849-852 - [c27]Benton H. Calhoun, Sudhanshu Khanna, Yanqing Zhang, Joseph F. Ryan, Brian P. Otis:
System design principles combining sub-threshold circuit and architectures with energy scavenging mechanisms. ISCAS 2010: 269-272 - [c26]Randy W. Mann, Satyanand Nalam, Jiajing Wang, Benton H. Calhoun:
Limits of bias based assist methods in nano-scale 6T SRAM. ISQED 2010: 1-8 - [c25]Satyanand Nalam, Vikas Chandra, Cezary Pietrzyk, Robert C. Aitken, Benton H. Calhoun:
Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation. ISQED 2010: 139-146
2000 – 2009
- 2009
- [j11]Mark A. Hanson, Harry C. Powell Jr., Adam T. Barth, Kyle Ringgenberg, Benton H. Calhoun, James H. Aylor, John C. Lach:
Body Area Sensor Networks: Challenges and Opportunities. Computer 42(1): 58-65 (2009) - [c24]Andrew D. Jurik, Jonathan F. Bolus, Alfred C. Weaver, Benton H. Calhoun, Travis N. Blalock:
Mobile health monitoring through biotelemetry. BODYNETS 2009: 12 - [c23]Satyanand Nalam, Benton H. Calhoun:
Asymmetric sizing in a 45nm 5T SRAM to improve read stability over 6T. CICC 2009: 709-712 - [c22]Mateja Putic, Liang Di, Benton H. Calhoun, John C. Lach:
Panoptic DVS: A fine-grained dynamic voltage scaling framework for energy scalable CMOS design. ICCD 2009: 491-497 - [c21]Satyanand Nalam, Mudit Bhargava, Kyle Ringgenberg, Ken Mai, Benton H. Calhoun:
A Technology-Agnostic Simulation Environment (TASE) for iterative custom IC design across processes. ICCD 2009: 523-528 - [c20]Benton H. Calhoun, Jonathan F. Bolus, Sudhanshu Khanna, Andrew D. Jurik, Alfred C. Weaver, Travis N. Blalock:
Sub-threshold Operation and Cross-hierarchy Design for Ultra Low Power Wearable Sensors. ISCAS 2009: 1437-1440 - [c19]Benton H. Calhoun, Sudhanshu Khanna, Randy W. Mann, Jiajing Wang:
Sub-threshold Circuit Design with Shrinking CMOS Devices. ISCAS 2009: 2541-2544 - [c18]Sudhanshu Khanna, Benton H. Calhoun:
Serial sub-threshold circuits for ultra-low-power systems. ISLPED 2009: 27-32 - [c17]Steven C. Jocke, Jonathan F. Bolus, Stuart N. Wooters, Travis N. Blalock, Benton H. Calhoun:
A 2.6 µW sub-threshold mixed-signal ECG SoC. ISLPED 2009: 117-118 - 2008
- [j10]Jiajing Wang, Benton H. Calhoun:
Techniques to Extend Canary-Based Standby VDD Scaling for SRAMs to 45 nm and Beyond. IEEE J. Solid State Circuits 43(11): 2514-2523 (2008) - [j9]Benton H. Calhoun, Yu Cao, Xin Li, Ken Mai, Lawrence T. Pileggi, Rob A. Rutenbar, Kenneth L. Shepard:
Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS. Proc. IEEE 96(2): 343-365 (2008) - [c16]Liang Di, Mateja Putic, John C. Lach, Benton H. Calhoun:
Power switch characterization for fine-grained dynamic voltage scaling. ICCD 2008: 605-611 - [c15]Jiajing Wang, Satyanand Nalam, Benton H. Calhoun:
Analyzing static and dynamic write margin for nanometer SRAMs. ISLPED 2008: 129-134 - [c14]Joseph F. Ryan, Benton H. Calhoun:
Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation. ISQED 2008: 127-132 - [c13]Amith Singhee, Jiajing Wang, Benton H. Calhoun, Rob A. Rutenbar:
Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design. VLSI Design 2008: 131-136 - 2007
- [j8]Benton H. Calhoun, Anantha P. Chandrakasan:
A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation. IEEE J. Solid State Circuits 42(3): 680-688 (2007) - [c12]Jiajing Wang, Benton H. Calhoun:
Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM. CICC 2007: 29-32 - [c11]Jiajing Wang, Amith Singhee, Rob A. Rutenbar, Benton H. Calhoun:
Statistical modeling for the minimum standby supply voltage of a full SRAM array. ESSCIRC 2007: 400-403 - [c10]Joseph F. Ryan, Jiajing Wang, Benton H. Calhoun:
Analyzing and modeling process balance for sub-threshold circuit design. ACM Great Lakes Symposium on VLSI 2007: 275-280 - 2006
- [b2]Alice Wang, Benton H. Calhoun, Anantha P. Chandrakasan:
Sub-threshold Design for Ultra Low-Power Systems. Series on Integrated Circuits and Systems, Springer 2006, ISBN 978-0-387-33515-5, pp. 1-209 - [j7]Benton H. Calhoun, Anantha P. Chandrakasan:
Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering. IEEE J. Solid State Circuits 41(1): 238-245 (2006) - [j6]Benton H. Calhoun, Anantha P. Chandrakasan:
Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE J. Solid State Circuits 41(7): 1673-1679 (2006) - [c9]Benton H. Calhoun, Alice Wang, Naveen Verma, Anantha P. Chandrakasan:
Sub-threshold design: the challenges of minimizing circuit energy. ISLPED 2006: 366-368 - [c8]Benton H. Calhoun, Anantha P. Chandrakasan:
A 256kb Sub-threshold SRAM in 65nm CMOS. ISSCC 2006: 2592-2601 - 2005
- [b1]Benton H. Calhoun:
Low energy digital circuit design using sub-threshold operation. Massachusetts Institute of Technology, Cambridge, MA, USA, 2005 - [j5]Benton H. Calhoun, Alice Wang, Anantha P. Chandrakasan:
Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J. Solid State Circuits 40(9): 1778-1786 (2005) - [j4]Benton H. Calhoun, Denis C. Daly, Naveen Verma, Daniel F. Finchelstein, David D. Wentzloff, Alice Wang, Seong-Hwan Cho, Anantha P. Chandrakasan:
Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes. IEEE Trans. Computers 54(6): 727-740 (2005) - [c7]Benton H. Calhoun, Anantha P. Chandrakasan:
Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS. ESSCIRC 2005: 363-366 - 2004
- [j3]Benton H. Calhoun, Frank Honoré, Anantha P. Chandrakasan:
A leakage reduction methodology for distributed MTCMOS. IEEE J. Solid State Circuits 39(5): 818-826 (2004) - [j2]Benton H. Calhoun, Anantha P. Chandrakasan:
Standby power reduction using dynamic voltage scaling and canary flip-flop structures. IEEE J. Solid State Circuits 39(9): 1504-1511 (2004) - [j1]Eugene Shih, Seong-Hwan Cho, Fred S. Lee, Benton H. Calhoun, Anantha P. Chandrakasan:
Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks. J. VLSI Signal Process. 37(1): 77-94 (2004) - [c6]Benton H. Calhoun, Alice Wang, Anantha P. Chandrakasan:
Device sizing for minimum energy operation in subthreshold circuits. CICC 2004: 95-98 - [c5]Benton H. Calhoun, Anantha P. Chandrakasan:
Characterizing and modeling minimum energy operation for subthreshold circuits. ISLPED 2004: 90-95 - [c4]David D. Wentzloff, Benton H. Calhoun, Rex Min, Alice Wang, Nathan Ickes, Anantha P. Chandrakasan:
Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes. VLSI Design 2004: 361- - 2003
- [c3]Benton H. Calhoun, Anantha P. Chandrakasan:
Standby voltage scaling for reduced power. CICC 2003: 639-642 - [c2]Frank Honoré, Benton H. Calhoun, Anantha P. Chandrakasan:
Power-aware architectures and circuits for FPGA-based signal processing. FPGA 2003: 244 - [c1]Benton H. Calhoun, Frank Honoré, Anantha P. Chandrakasan:
Design methodology for fine-grained leakage control in MTCMOS. ISLPED 2003: 104-109
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-04 21:42 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint