default search action
Hai Li 0001
Person information
- affiliation: Duke University, Department of Electrical and Computer Engineering, Durham, NC, USA
- affiliation: University of Pittsburgh, Department of Electrical and Computer Engineering, Pittsburgh, PA, USA
- affiliation: NYU Polytechnic Institute, Department of Electrical and Computer Engineering, New York, NY, USA
- affiliation: Seagate Technology LLC, Bloomington, MN, USA
- affiliation: Intel Corporation, Santa Clara, CA, USA
- affiliation: Qualcomm Inc., San Diego, CA, USA
- affiliation: Purdue University, Electrical and Computer Engineering, West Lafayette, IN, USA
Other persons with the same name
- Hai Li — disambiguation page
- Hai Li 0002 — National University of Defense Technology, Changsha, School of Computer
- Hai Li 0003 — University of Lancaster, Department of Computing (and 1 more)
- Hai Li 0004 — Plymouth University, School of Computing and Mathematics
- Hai Li 0005 — Beijing Institute of Technology, School of Information and Electronics, China
- Hai Li 0006 — Weill Cornell Medicical College, Houston, Methodist Hospital Research Institute (and 2 more)
- Hai Li 0007 — University of East Anglia, Norwich, UK
- Hai Li 0008 — Intel, Portland, USA (and 1 more)
Other persons with a similar name
- Hai-Guang Li
- Haibin Li (aka: Hai-Bin Li) — disambiguation page
- Haibo Li (aka: Hai-Bo Li) — disambiguation page
- Haigang Li (aka: Hai-Gang Li)
- Haipeng Li (aka: Hai-Peng Li) — disambiguation page
- Haisheng Li (aka: Hai-Sheng Li) — disambiguation page
- Haiyang Li (aka: Hai-Yang Li, Hai-yang Li, HaiYang Li) — disambiguation page
- Haiying Li (aka: Hai-Ying Li) — disambiguation page
- Hai-Sheng Li 0001 (aka: Haisheng Li 0001) — Guangxi Normal University, College of Electronic Engineering, Guilin, China (and 1 more)
- Hai-Sheng Li 0002 (aka: Haisheng Li 0002) — Beijing Technology and Business University, School of Computer and Information Engineering, China (and 1 more)
- show all similar names
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j89]Yiran Chen, Suman Banerjee, Shaundra Daily, Jeffery Krolik, Hai (Helen) Li, Daniel B. Limbrick, Miroslav Pajic, Rajashi Runton, Lin Zhong:
Athena - The NSF AI Institute for Edge Computing. AI Mag. 45(1): 15-21 (2024) - [j88]Shiyu Li, Yitu Wang, Edward Hanson, Andrew Chang, Yang-Seok Ki, Hai Li, Yiran Chen:
NDRec: A Near-Data Processing System for Training Large-Scale Recommendation Models. IEEE Trans. Computers 73(5): 1248-1261 (2024) - [j87]Xiaoxuan Yang, Zhangyang Wang, X. Sharon Hu, Chris H. Kim, Shimeng Yu, Miroslav Pajic, Rajit Manohar, Yiran Chen, Hai Helen Li:
Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design. IEEE Trans. Circuits Syst. II Express Briefs 71(3): 1683-1689 (2024) - [c290]Brady Taylor, Xiaoxuan Yang, Hai Li:
Weight Update Scheme for 1T1R Memristor Array Based Equilibrium Propagation. AICAS 2024: 388-392 - [c289]Tunhou Zhang, Shiyu Li, Hsin-Pai Cheng, Feng Yan, Hai Li, Yiran Chen:
CSCO: Connectivity Search of Convolutional Operators. CVPR Workshops 2024: 1685-1694 - [c288]Xuzhe Zhang, Yuhao Wu, Elsa D. Angelini, Ang Li, Jia Guo, Jerod M. Rasmussen, Thomas G. O'Connor, Pathik D. Wadhwa, Andrea Parolin Jackowski, Hai Li, Jonathan Posner, Andrew F. Laine, Yun Wang:
MAPSeg: Unified Unsupervised Domain Adaptation for Heterogeneous Medical Image Segmentation Based on 3D Masked Autoencoding and Pseudo-Labeling. CVPR 2024: 5851-5862 - [c287]Hai Helen Li:
AI Models for Edge Computing: Hardware-aware Optimizations for Efficiency. DATE 2024: 1 - [c286]Bokyung Kim, Hai Li, Yiran Chen:
Processing-in-Memory Designs Based on Emerging Technology for Efficient Machine Learning Acceleration. ACM Great Lakes Symposium on VLSI 2024: 614-619 - [c285]Yueqian Lin, Jingyang Zhang, Yiran Chen, Hai Li:
SD-NAE: Generating Natural Adversarial Examples with Stable Diffusion. Tiny Papers @ ICLR 2024 - [c284]Yitu Wang, Shiyu Li, Qilin Zheng, Linghao Song, Zongwang Li, Andrew Chang, Hai Li, Yiran Chen:
NDSEARCH: Accelerating Graph-Traversal-Based Approximate Nearest Neighbor Search through Near Data Processing. ISCA 2024: 368-381 - [c283]Qilin Zheng, Shiyu Li, Yitu Wang, Ziru Li, Yiran Chen, Hai (Helen) Li:
Hybrid Digital/Analog Memristor-based Computing Architecture for Sparse Deep Learning Acceleration. ISCAS 2024: 1-5 - [c282]Minxue Tang, Jingwei Sun, Hai Helen Li, Yiran Chen:
Embracing Privacy, Robustness, and Efficiency with Trustworthy Federated Learning on Edge Devices. ISVLSI 2024: 284-289 - [c281]Zhixu Du, Shiyu Li, Yuhao Wu, Xiangyu Jiang, Jingwei Sun, Qilin Zheng, Yongkai Wu, Ang Li, Hai Li, Yiran Chen:
SiDA: Sparsity-Inspired Data-Aware Serving for Efficient and Scalable Large Mixture-of-Experts Models. MLSys 2024 - [c280]Minxue Tang, Anna Dai, Louis DiValentin, Aolin Ding, Amin Hass, Neil Zhenqiang Gong, Yiran Chen, Hai (Helen) Li:
ModelGuard: Information-Theoretic Defense Against Model Extraction Attacks. USENIX Security Symposium 2024 - [c279]Matthew Inkawhich, Nathan Inkawhich, Hai Li, Yiran Chen:
Tunable Hybrid Proposal Networks for the Open World. WACV 2024: 1977-1988 - [c278]Binghui Wang, Minhua Lin, Tianxiang Zhou, Pan Zhou, Ang Li, Meng Pang, Hai Helen Li, Yiran Chen:
Efficient, Direct, and Restricted Black-Box Graph Evasion Attacks to Any-Layer Graph Neural Networks via Influence Function. WSDM 2024: 693-701 - [i102]Junyao Zhang, Hanrui Wang, Qi Ding, Jiaqi Gu, Reouven Assouly, William D. Oliver, Song Han, Kenneth R. Brown, Hai Helen Li, Yiran Chen:
Qplacer: Frequency-Aware Component Placement for Superconducting Quantum Computers. CoRR abs/2401.17450 (2024) - [i101]Tunhou Zhang, Feng Yan, Hai Helen Li, Yiran Chen:
Peeking Behind the Curtains of Residual Learning. CoRR abs/2402.08645 (2024) - [i100]Atsuyuki Miyai, Jingkang Yang, Jingyang Zhang, Yifei Ming, Qing Yu, Go Irie, Yixuan Li, Hai Li, Ziwei Liu, Kiyoharu Aizawa:
Unsolvable Problem Detection: Evaluating Trustworthiness of Vision Language Models. CoRR abs/2403.20331 (2024) - [i99]Jingyang Zhang, Jingwei Sun, Eric C. Yeats, Yang Ouyang, Martin Kuo, Jianyi Zhang, Hao Yang, Hai Helen Li:
Min-K%++: Improved Baseline for Detecting Pre-Training Data from Large Language Models. CoRR abs/2404.02936 (2024) - [i98]Eric C. Yeats, Cameron Darwin, Eduardo Ortega, Frank Liu, Hai Li:
Do Counterfactual Examples Complicate Adversarial Training? CoRR abs/2404.10588 (2024) - [i97]Tunhou Zhang, Shiyu Li, Hsin-Pai Cheng, Feng Yan, Hai Li, Yiran Chen:
CSCO: Connectivity Search of Convolutional Operators. CoRR abs/2404.17152 (2024) - [i96]Hao Fu, Tunhou Zhang, Hai Li, Yiran Chen:
Can Dense Connectivity Benefit Outlier Detection? An Odyssey with NAS. CoRR abs/2406.01975 (2024) - [i95]Qinwei Huang, Chen Luo, Alex B. Wu, Simon Khan, Hai Li, Qinru Qiu:
Multi-agent Cooperative Games Using Belief Map Assisted Training. CoRR abs/2406.19477 (2024) - [i94]Tergel Molom-Ochir, Brady Taylor, Hai Li, Yiran Chen:
MonoSparse-CAM: Harnessing Monotonicity and Sparsity for Enhanced Tree Model Processing on CAMs. CoRR abs/2407.11071 (2024) - [i93]Atsuyuki Miyai, Jingkang Yang, Jingyang Zhang, Yifei Ming, Yueqian Lin, Qing Yu, Go Irie, Shafiq Joty, Yixuan Li, Hai Li, Ziwei Liu, Toshihiko Yamasaki, Kiyoharu Aizawa:
Generalized Out-of-Distribution Detection and Beyond in Vision Language Model Era: A Survey. CoRR abs/2407.21794 (2024) - [i92]Jianyi Zhang, Hao (Fran)k Yang, Ang Li, Xin Guo, Pu Wang, Haiming Wang, Yiran Chen, Hai Li:
MLLM-FL: Multimodal Large Language Model Assisted Federated Learning on Heterogeneous and Long-tailed Data. CoRR abs/2409.06067 (2024) - [i91]Minxue Tang, Yitu Wang, Jingyang Zhang, Louis DiValentin, Aolin Ding, Amin Hass, Yiran Chen, Hai (Helen) Li:
FedProphet: Memory-Efficient Federated Adversarial Training via Theoretic-Robustness and Low-Inconsistency Cascade Learning. CoRR abs/2409.08372 (2024) - 2023
- [j86]Charles Augustine, Hai Helen Li:
ISLPED 2022: An Experience of a Hybrid Conference in the Time of COVID-19. IEEE Des. Test 40(1): 105-107 (2023) - [j85]Edward Hanson, Shiyu Li, Xuehai Qian, Hai Helen Li, Yiran Chen:
DyNNamic: Dynamically Reshaping, High Data-Reuse Accelerator for Compact DNNs. IEEE Trans. Computers 72(3): 880-892 (2023) - [j84]Xiaoxuan Yang, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, Hai Li:
ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(7): 2187-2199 (2023) - [j83]Hai Helen Li:
MWSCAS Guest Editorial Special Issue Based on the 64th International Midwest Symposium on Circuits and Systems. IEEE Trans. Circuits Syst. I Regul. Pap. 70(1): 1-2 (2023) - [j82]Weisheng Zhao, Hai Helen Li, Domenico Zito:
Outgoing Editorial. IEEE Trans. Circuits Syst. I Regul. Pap. 70(12): 4675-4677 (2023) - [j81]Hai Helen Li:
Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2023. IEEE Trans. Circuits Syst. I Regul. Pap. 70(12): 4678 (2023) - [j80]Ziru Li, Qilin Zheng, Yiran Chen, Hai Helen Li:
SpikeSen: Low-Latency In-Sensor-Intelligence Design With Neuromorphic Spiking Neurons. IEEE Trans. Circuits Syst. II Express Briefs 70(6): 1876-1880 (2023) - [j79]Yitu Wang, Shiyu Li, Qilin Zheng, Andrew Chang, Hai Li, Yiran Chen:
EMS-i: An Efficient Memory System Design with Specialized Caching Mechanism for Recommendation Inference. ACM Trans. Embed. Comput. Syst. 22(5s): 100:1-100:22 (2023) - [j78]Biresh Kumar Joardar, Janardhan Rao Doppa, Hai (Helen) Li, Krishnendu Chakrabarty, Partha Pratim Pande:
ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs. IEEE Trans. Emerg. Top. Comput. 11(2): 303-317 (2023) - [c277]Edward Hanson, Mark Horton, Hai (Helen) Li, Yiran Chen:
DefT: Boosting Scalability of Deformable Convolution Operations on GPUs. ASPLOS (3) 2023: 134-146 - [c276]Randolph Linderman, Jingyang Zhang, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Fine-grain Inference on Out-of-Distribution Data with Hierarchical Classification. CoLLAs 2023: 162-183 - [c275]Huanrui Yang, Hongxu Yin, Maying Shen, Pavlo Molchanov, Hai Li, Jan Kautz:
Global Vision Transformer Pruning with Hessian-Aware Saliency. CVPR 2023: 18547-18557 - [c274]Qilin Zheng, Shiyu Li, Yitu Wang, Ziru Li, Yiran Chen, Hai Helen Li:
Accelerating Sparse Attention with a Reconfigurable Non-volatile Processing-In-Memory Architecture. DAC 2023: 1-6 - [c273]Chung-Hsuan Tung, Biresh Kumar Joardar, Partha Pratim Pande, Janardhan Rao Doppa, Hai Helen Li, Krishnendu Chakrabarty:
Dynamic Task Remapping for Reliable CNN Training on ReRAM Crossbars. DATE 2023: 1-6 - [c272]Chen Luo, Qinwei Huang, Alex B. Wu, Simon Khan, Hai Li, Qinru Qiu:
Multi-Agent Cooperative Games Using Belief Map Assisted Training. ECAI 2023: 1617-1624 - [c271]Bokyung Kim, Shiyu Li, Hai Li:
INCA: Input-stationary Dataflow at Outside-the-box Thinking about Deep Learning Accelerators. HPCA 2023: 29-41 - [c270]Yuewei Yang, Hai Li, Yiran Chen:
Stable and Causal Inference for Discriminative Self-supervised Deep Visual Representations. ICCV 2023: 16063-16074 - [c269]Eric C. Yeats, Frank Y. Liu, Hai Helen Li:
Disentangling Learning Representations with Density Estimation. ICLR 2023 - [c268]Jianyi Zhang, Ang Li, Minxue Tang, Jingwei Sun, Xiang Chen, Fan Zhang, Changyou Chen, Yiran Chen, Hai Li:
Fed-CBS: A Heterogeneity-Aware Client Sampling Mechanism for Federated Learning via Class-Imbalance Reduction. ICML 2023: 41354-41381 - [c267]Ximing Qiao, Hai Li:
On a New Type of Neural Computation for Probabilistic Symbolic Reasoning. IJCNN 2023: 1-9 - [c266]Edward Hanson, Shiyu Li, Guanglei Zhou, Feng Cheng, Yitu Wang, Rohan Bose, Hai Li, Yiran Chen:
Si-Kintsugi: Towards Recovering Golden-Like Performance of Defective Many-Core Spatial Architectures for AI. MICRO 2023: 972-985 - [c265]Linghao Song, Fan Chen, Hai Li, Yiran Chen:
ReFloat: Low-Cost Floating-Point Processing in ReRAM for Accelerating Iterative Linear Solvers. SC 2023: 75:1-75:15 - [c264]Tunhou Zhang, Mingyuan Ma, Feng Yan, Hai Li, Yiran Chen:
: Joint Point Interaction-Dimension Search for 3D Point Cloud. WACV 2023: 1298-1307 - [c263]Jingyang Zhang, Nathan Inkawhich, Randolph Linderman, Yiran Chen, Hai Li:
Mixture Outlier Exposure: Towards Out-of-Distribution Detection in Fine-grained Environments. WACV 2023: 5520-5529 - [c262]Tunhou Zhang, Dehua Cheng, Yuchen He, Zhengxing Chen, Xiaoliang Dai, Liang Xiong, Feng Yan, Hai Li, Yiran Chen, Wei Wen:
NASRec: Weight Sharing Neural Architecture Search for Recommender Systems. WWW 2023: 1199-1207 - [i90]Jingchi Zhang, Huanrui Yang, Hai Li:
HCE: Improving Performance and Efficiency with Heterogeneously Compressed Neural Network Ensemble. CoRR abs/2301.07794 (2023) - [i89]Eric C. Yeats, Frank Liu, Hai Helen Li:
Disentangling Learning Representations with Density Estimation. CoRR abs/2302.04362 (2023) - [i88]Xuzhe Zhang, Yuhao Wu, Jia Guo, Jerod M. Rasmussen, Thomas G. O'Connor, Hyagriv N. Simhan, Sonja Entringer, Pathik D. Wadhwa, Claudia Buss, Cristiane S. Duarte, Andrea Jackowski, Hai Li, Jonathan Posner, Andrew F. Laine, Yun Wang:
3D Masked Autoencoding and Pseudo-labeling for Domain Adaptive Segmentation of Heterogeneous Infant Brain MRI. CoRR abs/2303.09373 (2023) - [i87]Jingyang Zhang, Nathan Inkawhich, Randolph Linderman, Ryan Luley, Yiran Chen, Hai Helen Li:
SIO: Synthetic In-Distribution Data Benefits Out-of-Distribution Detection. CoRR abs/2303.14531 (2023) - [i86]Jingyang Zhang, Jingkang Yang, Pengyun Wang, Haoqi Wang, Yueqian Lin, Haoran Zhang, Yiyou Sun, Xuefeng Du, Kaiyang Zhou, Wayne Zhang, Yixuan Li, Ziwei Liu, Yiran Chen, Hai Li:
OpenOOD v1.5: Enhanced Benchmark for Out-of-Distribution Detection. CoRR abs/2306.09301 (2023) - [i85]Yuewei Yang, Hai Li, Yiran Chen:
Stable and Causal Inference for Discriminative Self-supervised Deep Visual Representations. CoRR abs/2308.08321 (2023) - [i84]Xueying Wu, Edward Hanson, Nansu Wang, Qilin Zheng, Xiaoxuan Yang, Huanrui Yang, Shiyu Li, Feng Cheng, Partha Pratim Pande, Janardhan Rao Doppa, Krishnendu Chakrabarty, Hai Li:
Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators. CoRR abs/2310.12182 (2023) - [i83]Zhixu Du, Shiyu Li, Yuhao Wu, Xiangyu Jiang, Jingwei Sun, Qilin Zheng, Yongkai Wu, Ang Li, Hai (Helen) Li, Yiran Chen:
SiDA: Sparsity-Inspired Data-Aware Serving for Efficient and Scalable Large Mixture-of-Experts Models. CoRR abs/2310.18859 (2023) - [i82]Yufan Cao, Tunhou Zhang, Wei Wen, Feng Yan, Hai Li, Yiran Chen:
Farthest Greedy Path Sampling for Two-shot Recommender Search. CoRR abs/2310.20705 (2023) - [i81]Tunhou Zhang, Wei Wen, Igor Fedorov, Xi Liu, Buyun Zhang, Fangqiu Han, Wen-Yen Chen, Yiping Han, Feng Yan, Hai Li, Yiran Chen:
DistDNAS: Search Efficient Feature Interactions within 2 Hours. CoRR abs/2311.00231 (2023) - [i80]Yueqian Lin, Jingyang Zhang, Yiran Chen, Hai Helen Li:
SD-NAE: Generating Natural Adversarial Examples with Stable Diffusion. CoRR abs/2311.12981 (2023) - [i79]Yitu Wang, Shiyu Li, Qilin Zheng, Linghao Song, Zongwang Li, Andrew Chang, Hai (Helen) Li, Yiran Chen:
In-Storage Acceleration of Graph-Traversal-Based Approximate Nearest Neighbor Search. CoRR abs/2312.03141 (2023) - [i78]Eric C. Yeats, Cameron Darwin, Frank Liu, Hai Li:
Adversarial Estimation of Topological Dimension with Harmonic Score Maps. CoRR abs/2312.06869 (2023) - 2022
- [j77]Chaitanya K. Baru, Michael Pozmantier, Ilkay Altintas, Stephen Baek, Jonathan Cohen, Laura E. Condon, Giulia Fanti, Raul Castro Fernandez, Ethan Jackson, Upmanu Lall, Bennett A. Landman, Hai Li, Claudia Marin, Beatriz Martínez-López, Dimitris N. Metaxas, Bradley D. Olsen, Grier P. Page, Yelda Turkan, Jingbo Zhang, Peng Zhang:
Enabling AI Innovation via Data and Model Sharing: An Overview of the Nsf Convergence Accelerator Track D. AI Mag. 43(1): 93-104 (2022) - [j76]Hai Li, Alaa R. Alameldeen, Onur Mutlu:
Guest Editors' Introduction: Near-Memory and In-Memory Processing. IEEE Des. Test 39(2): 46-47 (2022) - [j75]Chukwufumnanya Ogbogu, Aqeeb Iqbal Arka, Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Helen Li, Krishnendu Chakrabarty, Partha Pratim Pande:
Accelerating Large-Scale Graph Neural Network Training on Crossbar Diet. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 3626-3637 (2022) - [j74]Hai Helen Li:
Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2022. IEEE Trans. Circuits Syst. I Regul. Pap. 69(12): 4730 (2022) - [j73]Jiachen Mao, Qing Yang, Ang Li, Kent W. Nixon, Hai Li, Yiran Chen:
Toward Efficient and Adaptive Design of Video Detection System with Deep Neural Networks. ACM Trans. Embed. Comput. Syst. 21(3): 33:1-33:21 (2022) - [j72]Muhammad Shafique, Theocharis Theocharides, Hai Li, Chun Jason Xue:
Introduction to the Special Issue on Accelerating AI on the Edge - Part 1. ACM Trans. Embed. Comput. Syst. 21(5): 47:1-47:5 (2022) - [j71]Muhammad Shafique, Theocharis Theocharides, Hai (Helen) Li, Chun Jason Xue:
Introduction to the Special Issue on Accelerating AI on the Edge - Part 2. ACM Trans. Embed. Comput. Syst. 21(6): 67:1-67:5 (2022) - [c261]Xiaoxuan Yang, Huanrui Yang, Jingchi Zhang, Hai Helen Li, Yiran Chen:
On Building Efficient and Robust Neural Network Designs. IEEECONF 2022: 317-321 - [c260]Brady Taylor, Nicky Ramos, Eric C. Yeats, Hai Li:
CMOS Implementation of Spiking Equilibrium Propagation for Real-Time Learning. AICAS 2022: 283-286 - [c259]Hsin-Pai Cheng, Feng Liang, Meng Li, Bowen Cheng, Feng Yan, Hai Li, Vikas Chandra, Yiran Chen:
ScaleNAS: Multi-Path One-Shot NAS for Scale-Aware High-Resolution Representation. AutoML 2022: 15/1-18 - [c258]Jianyi Zhang, Zhixu Du, Jingwei Sun, Ang Li, Minxue Tang, Yuhao Wu, Zhihui Gao, Martin Kuo, Hai Helen Li, Yiran Chen:
Next Generation Federated Learning for Edge Devices: An Overview. CIC 2022: 10-15 - [c257]Jingyang Zhang, Yiran Chen, Hai Helen Li:
Privacy Leakage of Adversarial Training Models in Federated Learning Systems. CVPR Workshops 2022: 107-113 - [c256]Minxue Tang, Xuefei Ning, Yitu Wang, Jingwei Sun, Yu Wang, Hai Helen Li, Yiran Chen:
FedCor: Correlation-Based Active Client Selection Strategy for Heterogeneous Federated Learning. CVPR 2022: 10092-10101 - [c255]Grace Li Zhang, Shuhang Zhang, Hai Helen Li, Ulf Schlichtmann:
RRAM-based Neuromorphic Computing: Data Representation, Architecture, Logic, and Programming. DSD 2022: 423-428 - [c254]Eric C. Yeats, Frank Liu, David Womble, Hai Helen Li:
NashAE: Disentangling Representations Through Adversarial Covariance Minimization. ECCV (27) 2022: 36-51 - [c253]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. ICCAD 2022: 80:1-80:9 - [c252]Binghui Wang, Ang Li, Meng Pang, Hai Li, Yiran Chen:
GraphFL: A Federated Learning Framework for Semi-Supervised Node Classification on Graphs. ICDM 2022: 498-507 - [c251]Edward Hanson, Shiyu Li, Hai Helen Li, Yiran Chen:
Cascading structured pruning: enabling high data reuse for sparse DNN accelerators. ISCA 2022: 522-535 - [c250]Guangyu Feng, Bokyung Kim, Hai Helen Li:
Bionic Robust Memristor-Based Artificial Nociception System for Robotics. ISCAS 2022: 3552-3556 - [c249]Bonan Yan, Jeng-Long Hsu, Pang-Cheng Yu, Chia-Chi Lee, Yaojun Zhang, Wenshuo Yue, Guoqiang Mei, Yuchao Yang, Yue Yang, Hai Li, Yiran Chen, Ru Huang:
A 1.041-Mb/mm2 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less SRAM Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications. ISSCC 2022: 188-190 - [c248]Jingwei Sun, Ang Li, Lin Duan, Samiul Alam, Xuliang Deng, Xin Guo, Haiming Wang, Maria Gorlatova, Mi Zhang, Hai Li, Yiran Chen:
FedSEA: A Semi-Asynchronous Federated Learning Framework for Extremely Heterogeneous Devices. SenSys 2022: 106-119 - [c247]Matthew Inkawhich, Nathan Inkawhich, Eric K. Davis, Hai Li, Yiran Chen:
The Untapped Potential of Off-the-Shelf Convolutional Neural Networks. WACV 2022: 2907-2916 - [e5]Hai Helen Li, Charles Augustine, Ayse Kivilcim Coskun, Swaroop Ghosh:
ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022. ACM 2022, ISBN 978-1-4503-9354-6 [contents] - [i77]Jingyang Zhang, Yiran Chen, Hai Helen Li:
Privacy Leakage of Adversarial Training Models in Federated Learning Systems. CoRR abs/2202.10546 (2022) - [i76]Tunhou Zhang, Dehua Cheng, Yuchen He, Zhengxing Chen, Xiaoliang Dai, Liang Xiong, Feng Yan, Hai Li, Yiran Chen, Wei Wen:
NASRec: Weight Sharing Neural Architecture Search for Recommender Systems. CoRR abs/2207.07187 (2022) - [i75]Matthew Inkawhich, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Self-Trained Proposal Networks for the Open World. CoRR abs/2208.11050 (2022) - [i74]Ximing Qiao, Hai Li:
Learning and Compositionality: a Unification Attempt via Connectionist Probabilistic Programming. CoRR abs/2208.12789 (2022) - [i73]Minxue Tang, Jianyi Zhang, Mingyuan Ma, Louis DiValentin, Aolin Ding, Amin Hassanzadeh, Hai Helen Li, Yiran Chen:
FADE: Enabling Large-Scale Federated Adversarial Training on Resource-Constrained Edge Devices. CoRR abs/2209.03839 (2022) - [i72]Randolph Linderman, Jingyang Zhang, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Fine-grain Inference on Out-of-Distribution Data with Hierarchical Classification. CoRR abs/2209.04493 (2022) - [i71]Eric C. Yeats, Frank Liu, David Womble, Hai Li:
NashAE: Disentangling Representations through Adversarial Covariance Minimization. CoRR abs/2209.10677 (2022) - [i70]Jianyi Zhang, Ang Li, Minxue Tang, Jingwei Sun, Xiang Chen, Fan Zhang, Changyou Chen, Yiran Chen, Hai Li:
Fed-CBS: A Heterogeneity-Aware Client Sampling Mechanism for Federated Learning via Class-Imbalance Reduction. CoRR abs/2209.15245 (2022) - [i69]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. CoRR abs/2210.00497 (2022) - [i68]Zhixu Du, Jingwei Sun, Ang Li, Pin-Yu Chen, Jianyi Zhang, Hai (Helen) Li, Yiran Chen:
Rethinking Normalization Methods in Federated Learning. CoRR abs/2210.03277 (2022) - [i67]Yuewei Yang, Jingwei Sun, Ang Li, Hai Li, Yiran Chen:
More Generalized and Personalized Unsupervised Representation Learning In A Distributed System. CoRR abs/2211.06470 (2022) - [i66]Tunhou Zhang, Mingyuan Ma, Feng Yan, Hai Li, Yiran Chen:
PIDS: Joint Point Interaction-Dimension Search for 3D Point Cloud. CoRR abs/2211.15759 (2022) - 2021
- [j70]Feng Liang, Zhichao Tian, Ming Dong, Shuting Cheng, Li Sun, Hai Li, Yiran Chen, Guohe Zhang:
Efficient neural network using pointwise convolution kernels with linear phase constraint. Neurocomputing 423: 572-579 (2021) - [j69]Qing Yang, Jiachen Mao, Zuoguan Wang, Hai (Helen) Li:
Dynamic Regularization on Activation Sparsity for Neural Network Efficiency Improvement. ACM J. Emerg. Technol. Comput. Syst. 17(4): 51:1-51:16 (2021) - [j68]Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Hai Li, Krishnendu Chakrabarty:
AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous 3-D Architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(5): 971-984 (2021) - [j67]Wei Hu, Chip-Hong Chang, Anirban Sengupta, Swarup Bhunia, Ryan Kastner, Hai Li:
An Overview of Hardware Security and Trust: Threats, Countermeasures, and Design Tools. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(6): 1010-1038 (2021) - [j66]Xiaoxiao Liu, Mengjie Mao, Xiuyuan Bi, Hai Helen Li, Yiran Chen:
Exploring Applications of STT-RAM in GPU Architectures. IEEE Trans. Circuits Syst. I Regul. Pap. 68(1): 238-249 (2021) - [j65]Qing Yang, Hai Li:
BitSystolic: A 26.7 TOPS/W 2b~8b NPU With Configurable Data Flows for Edge Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 68(3): 1134-1145 (2021) - [j64]Bokyung Kim, Edward Hanson, Hai Li:
An Efficient 3D ReRAM Convolution Processor Design for Binarized Weight Networks. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1600-1604 (2021) - [j63]Jiachen Mao, Huanrui Yang, Ang Li, Hai Li, Yiran Chen:
TPrune: Efficient Transformer Pruning for Mobile Devices. ACM Trans. Cyber Phys. Syst. 5(3): 26:1-26:22 (2021) - [j62]Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, Partha Pratim Pande:
Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators. ACM Trans. Embed. Comput. Syst. 20(5s): 55:1-55:23 (2021) - [c246]Hsin-Pai Cheng, Tunhou Zhang, Yixing Zhang, Shiyu Li, Feng Liang, Feng Yan, Meng Li, Vikas Chandra, Hai Li, Yiran Chen:
NASGEM: Neural Architecture Search via Graph Embedding Method. AAAI 2021: 7090-7098 - [c245]Jingchi Zhang, Yihao Huang, Huanrui Yang, Michael A. Martinez, Granger Hickman, Jeffrey L. Krolik, Hai Li:
Efficient FPGA Implementation of a Convolutional Neural Network for Radar Signal Processing. AICAS 2021: 1-4 - [c244]Shuhang Zhang, Hai Helen Li, Ulf Schlichtmann:
Connection-based Processing-In-Memory Engine Design Based on Resistive Crossbars. ASP-DAC 2021: 107-113 - [c243]Yiran Chen, Ang Li, Huanrui Yang, Tunhou Zhang, Yuewei Yang, Hai Li, Suman Banerjee, Miroslav Pajic:
AI-Powered IoT System at the Edge. CogMI 2021: 242-251 - [c242]Jingwei Sun, Ang Li, Binghui Wang, Huanrui Yang, Hai Li, Yiran Chen:
Soteria: Provable Defense Against Privacy Leakage in Federated Learning From Representation Perspective. CVPR 2021: 9311-9319 - [c241]Haowen Fang, Brady Taylor, Ziru Li, Zaidao Mei, Hai Helen Li, Qinru Qiu:
Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning. DAC 2021: 361-366 - [c240]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
RAISE: A Resistive Accelerator for Subject-Independent EEG Signal Classification. DATE 2021: 340-343 - [c239]Grace Li Zhang, Bing Li, Xing Huang, Chen Shen, Shuhang Zhang, Florin Burcea, Helmut Graeb, Tsung-Yi Ho, Hai Li, Ulf Schlichtmann:
An Efficient Programming Framework for Memristor-based Neuromorphic Computing. DATE 2021: 1068-1073 - [c238]Fan Chen, Linghao Song, Hai Li, Yiran Chen:
Marvel: A Vertical Resistive Accelerator for Low-Power Deep Learning Inference in Monolithic 3D. DATE 2021: 1240-1245 - [c237]Wenhong Ma, Guoqi Xie, Renfa Li, Weichen Liu, Hai Helen Li, Wanli Chang:
Efficient AUTOSAR-Compliant CAN-FD Frame Packing with Observed Optimality. DATE 2021: 1899-1904 - [c236]Houxiang Fan, Binghui Wang, Pan Zhou, Ang Li, Zichuan Xu, Cai Fu, Hai Li, Yiran Chen:
Reinforcement Learning-based Black-Box Evasion Attacks to Link Prediction in Dynamic Graphs. HPCC/DSS/SmartCity/DependSys 2021: 933-940 - [c235]Biresh Kumar Joardar, Aqeeb Iqbal Arka, Janardhan Rao Doppa, Partha Pratim Pande, Hai Li, Krishnendu Chakrabarty:
Heterogeneous Manycore Architectures Enabled by Processing-in-Memory for Deep Learning: From CNNs to GNNs: (ICCAD Special Session Paper). ICCAD 2021: 1-7 - [c234]Yitu Wang, Zhenhua Zhu, Fan Chen, Mingyuan Ma, Guohao Dai, Yu Wang, Hai Li, Yiran Chen:
Rerec: In-ReRAM Acceleration with Access-Aware Mapping for Personalized Recommendation. ICCAD 2021: 1-9 - [c233]Xiaoxuan Yang, Syrine Belakaria, Biresh Kumar Joardar, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, Hai Helen Li:
Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise. ICCAD 2021: 1-9 - [c232]Shuhang Zhang, Hai Li, Ulf Schlichtmann:
Peripheral Circuitry Assisted Mapping Framework for Resistive Logic-In-Memory Computing. ICCAD 2021: 1-9 - [c231]Nathan Inkawhich, Kevin J. Liang, Jingyang Zhang, Huanrui Yang, Hai Li, Yiran Chen:
Can Targeted Adversarial Examples Transfer When the Source and Target Models Have No Label Space Overlap? ICCVW 2021: 41-50 - [c230]Huanrui Yang, Lin Duan, Yiran Chen, Hai Li:
BSQ: Exploring Bit-Level Sparsity for Mixed-Precision Neural Network Quantization. ICLR 2021 - [c229]Eric C. Yeats, Yiran Chen, Hai Li:
Improving Gradient Regularization using Complex-Valued Neural Networks. ICML 2021: 11953-11963 - [c228]Ang Li, Jingwei Sun, Binghui Wang, Lin Duan, Sicheng Li, Yiran Chen, Hai Li:
LotteryFL: Empower Edge Intelligence with Personalized and Communication-Efficient Federated Learning. SEC 2021: 68-79 - [c227]Chaofei Yang, Leah Ding, Yiran Chen, Hai Li:
Defending against GAN-based DeepFake Attacks via Transformation-aware Adversarial Faces. IJCNN 2021: 1-8 - [c226]Brady Taylor, Amar Shrestha, Qinru Qiu, Hai Helen Li:
1S1R-Based Stable Learning through Single-Spike-Encoded Spike-Timing-Dependent Plasticity. ISCAS 2021: 1-5 - [c225]Binghui Wang, Jiayi Guo, Ang Li, Yiran Chen, Hai Li:
Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective. KDD 2021: 1667-1676 - [c224]Tao Wang, Patrick Koch, Brett Wujek, Jun Liu, Hai Li:
The Fifth International Workshop on Automation in Machine Learning. KDD 2021: 4163-4164 - [c223]Shiyu Li, Edward Hanson, Xuehai Qian, Hai (Helen) Li, Yiran Chen:
ESCALATE: Boosting the Efficiency of Sparse CNN Accelerator with Kernel Decomposition. MICRO 2021: 992-1004 - [c222]Ang Li, Jingwei Sun, Pengcheng Li, Yu Pu, Hai Li, Yiran Chen:
Hermes: an efficient federated learning framework for heterogeneous mobile clients. MobiCom 2021: 420-437 - [c221]Jingwei Sun, Ang Li, Louis DiValentin, Amin Hassanzadeh, Yiran Chen, Hai Li:
FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective. NeurIPS 2021: 12613-12624 - [c220]Ang Li, Jingwei Sun, Xiao Zeng, Mi Zhang, Hai Li, Yiran Chen:
FedMask: Joint Computation and Communication-Efficient Personalized Federated Learning via Heterogeneous Masking. SenSys 2021: 42-55 - [i65]Ximing Qiao, Yuhua Bai, Siping Hu, Ang Li, Yiran Chen, Hai Li:
On Provable Backdoor Defense in Collaborative Learning. CoRR abs/2101.08177 (2021) - [i64]Huanrui Yang, Lin Duan, Yiran Chen, Hai Li:
BSQ: Exploring Bit-Level Sparsity for Mixed-Precision Neural Network Quantization. CoRR abs/2102.10462 (2021) - [i63]Eren Kurshan, Hai Helen Li, Mingoo Seok, Yuan Xie:
A Case for 3D Integrated System Design for Neuromorphic Computing & AI Applications. CoRR abs/2103.04852 (2021) - [i62]Matthew Inkawhich, Nathan Inkawhich, Eric K. Davis, Hai Li, Yiran Chen:
The Untapped Potential of Off-the-Shelf Convolutional Neural Networks. CoRR abs/2103.09891 (2021) - [i61]Nathan Inkawhich, Kevin J. Liang, Jingyang Zhang, Huanrui Yang, Hai Li, Yiran Chen:
Can Targeted Adversarial Examples Transfer When the Source and Target Models Have No Label Space Overlap? CoRR abs/2103.09916 (2021) - [i60]Haowen Fang, Brady Taylor, Ziru Li, Zaidao Mei, Hai Helen Li, Qinru Qiu:
Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning. CoRR abs/2104.10712 (2021) - [i59]Jingyang Zhang, Nathan Inkawhich, Yiran Chen, Hai Li:
Fine-grained Out-of-Distribution Detection with Mixup Outlier Exposure. CoRR abs/2106.03917 (2021) - [i58]Binghui Wang, Jiayi Guo, Ang Li, Yiran Chen, Hai Li:
Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective. CoRR abs/2107.01475 (2021) - [i57]Xiaoxuan Yang, Syrine Belakaria, Biresh Kumar Joardar, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, Hai Li:
Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise. CoRR abs/2109.05437 (2021) - [i56]Huanrui Yang, Hongxu Yin, Pavlo Molchanov, Hai Li, Jan Kautz:
NViT: Vision Transformer Compression and Parameter Redistribution. CoRR abs/2110.04869 (2021) - [i55]Jingwei Sun, Ang Li, Louis DiValentin, Amin Hassanzadeh, Yiran Chen, Hai Li:
FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective. CoRR abs/2110.13864 (2021) - [i54]Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, Partha Pratim Pande:
ReaLPrune: ReRAM Crossbar-aware Lottery Ticket Pruned CNNs. CoRR abs/2111.09272 (2021) - 2020
- [j61]Chang Song, Hsin-Pai Cheng, Huanrui Yang, Sicheng Li, Chunpeng Wu, Qing Wu, Hai Li:
Adversarial Attack: A New Threat to Smart Devices and How to Defend It. IEEE Consumer Electron. Mag. 9(4): 49-55 (2020) - [j60]Teresa Serrano-Gotarredona, Maurizio Valle, Francesco Conti, Hai Li:
Introduction to the Special Issue on the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS 2020). IEEE J. Emerg. Sel. Topics Circuits Syst. 10(4): 403-405 (2020) - [j59]Guohe Zhang, Bing Li, Jianxing Wu, Ran Wang, Yazhu Lan, Li Sun, Shaochong Lei, Hai Li, Yiran Chen:
A low-cost and high-speed hardware implementation of spiking neural network. Neurocomputing 382: 106-115 (2020) - [j58]Eren Kurshan, Hai Li, Mingoo Seok, Yuan Xie:
A Case for 3D Integrated System Design for Neuromorphic Computing and AI Applications. Int. J. Semantic Comput. 14(4): 457-475 (2020) - [j57]Bing Li, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, Joe X. Qiu, Hai (Helen) Li:
3D-ReG: A 3D ReRAM-based Heterogeneous Architecture for Training Deep Neural Networks. ACM J. Emerg. Technol. Comput. Syst. 16(2): 20:1-20:24 (2020) - [j56]Hai Helen Li, Wei Zhang, Swarup Bhunia, Wujie Wen:
Introduction to the Special Issue on New Trends in Nanoelectronic Device, Circuit, and Architecture Design, Part 1. ACM J. Emerg. Technol. Comput. Syst. 16(3): 24:1-24:3 (2020) - [j55]Wei Zhang, Hai Helen Li, Wujie Wen, Swarup Bhunia:
Guest Editorial: ACM JETC Special Issue on New Trends in Nanolectronic Device, Circuit, and Architecture Design: Part 2. ACM J. Emerg. Technol. Comput. Syst. 16(4): 35:1-35:3 (2020) - [j54]Chaofei Yang, Beiye Liu, Hai Li, Yiran Chen, Mark Barnell, Qing Wu, Wujie Wen, Jeyavijayan Rajendran:
Thwarting Replication Attack Against Memristor-Based Neuromorphic Computing System. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2192-2205 (2020) - [j53]Ziru Li, Bing Li, Zichen Fan, Hai Li:
RED: A ReRAM-Based Efficient Accelerator for Deconvolutional Computation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 4736-4747 (2020) - [j52]Yazhu Lan, Kent W. Nixon, Qingli Guo, Guohe Zhang, Yuanchao Xu, Hai Li, Yiran Chen:
FCDM: A Methodology Based on Sensor Pattern Noise Fingerprinting for Fast Confidence Detection to Adversarial Attacks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 4791-4804 (2020) - [c219]Tunhou Zhang, Hsin-Pai Cheng, Zhenwen Li, Feng Yan, Chengyu Huang, Hai Helen Li, Yiran Chen:
AutoShrink: A Topology-Aware NAS for Discovering Efficient Neural Architecture. AAAI 2020: 6829-6836 - [c218]Shuhang Zhang, Grace Li Zhang, Bing Li, Hai Helen Li, Ulf Schlichtmann:
Lifetime Enhancement for RRAM-based Computing-In-Memory Engine Considering Aging and Thermal Effects. AICAS 2020: 11-15 - [c217]Chaofei Yang, Hai Li, Yiran Chen, Jiang Hu:
Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation. ASP-DAC 2020: 145-150 - [c216]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
PARC: A Processing-in-CAM Architecture for Genomic Long Read Pairwise Alignment using ReRAM. ASP-DAC 2020: 175-180 - [c215]Linghao Song, Fan Chen, Yiran Chen, Hai Helen Li:
Parallelism in Deep Learning Accelerators. ASP-DAC 2020: 645-650 - [c214]Matthew Inkawhich, Yiran Chen, Hai Helen Li:
Snooping Attacks on Deep Reinforcement Learning. AAMAS 2020: 557-565 - [c213]Huanrui Yang, Minxue Tang, Wei Wen, Feng Yan, Daniel Hu, Ang Li, Hai Li, Yiran Chen:
Learning Low-rank Deep Neural Networks via Singular Vector Orthogonality Regularization and Singular Value Sparsification. CVPR Workshops 2020: 2899-2908 - [c212]Ziru Li, Bonan Yan, Hai Helen Li:
ReSiPE: ReRAM-based Single-Spiking Processing-In-Memory Engine. DAC 2020: 1-6 - [c211]Qilin Zheng, Zongwei Wang, Zishun Feng, Bonan Yan, Yimao Cai, Ru Huang, Yiran Chen, Chia-Lin Yang, Hai Helen Li:
Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks. DAC 2020: 1-6 - [c210]Biresh Kumar Joardar, Nitthilan Kannappan Jayakodi, Janardhan Rao Doppa, Hai Li, Partha Pratim Pande, Krishnendu Chakrabarty:
GRAMARCH: A GPU-ReRAM based Heterogeneous Architecture for Neural Image Segmentation. DATE 2020: 228-233 - [c209]Shuhang Zhang, Bing Li, Hai Helen Li, Ulf Schlichtmann:
A Pulse-width Modulation Neuron with Continuous Activation for Processing-In-Memory Engines. DATE 2020: 1426-1431 - [c208]Yitu Wang, Fan Chen, Linghao Song, Chuanjin Richard Shi, Hai Helen Li, Yiran Chen:
ReBoc: Accelerating Block-Circulant Neural Networks in ReRAM. DATE 2020: 1472-1477 - [c207]Wei Wen, Hanxiao Liu, Yiran Chen, Hai Helen Li, Gabriel Bender, Pieter-Jan Kindermans:
Neural Predictor for Neural Architecture Search. ECCV (29) 2020: 660-676 - [c206]Grace Li Zhang, Bing Li, Ying Zhu, Shuhang Zhang, Tianchen Wang, Yiyu Shi, Tsung-Yi Ho, Hai (Helen) Li, Ulf Schlichtmann:
Reliable and Robust RRAM-based Neuromorphic Computing. ACM Great Lakes Symposium on VLSI 2020: 33-38 - [c205]Linghao Song, Fan Chen, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
AccPar: Tensor Partitioning for Heterogeneous Deep Learning Accelerators. HPCA 2020: 342-355 - [c204]Jingchi Zhang, Jonathan Huang, Michael Deisher, Hai Helen Li, Yiran Chen:
Structural Sparsification for Far-Field Speaker Recognition with Intel® Gna. ICASSP 2020: 3037-3041 - [c203]Zhiyao Xie, Hai Li, Xiaoqing Xu, Jiang Hu, Yiran Chen:
Fast IR Drop Estimation with Machine Learning : Invited Paper. ICCAD 2020: 13:1-13:8 - [c202]Xiaoxuan Yang, Bonan Yan, Hai Li, Yiran Chen:
ReTransformer: ReRAM-based Processing-in-Memory Architecture for Transformer Acceleration. ICCAD 2020: 92:1-92:9 - [c201]Qilin Zheng, Xingchen Li, Zongwei Wang, Guangyu Sun, Yimao Cai, Ru Huang, Yiran Chen, Hai Li:
MobiLattice: A Depth-wise DCNN Accelerator with Hybrid Digital/Analog Nonvolatile Processing-In-Memory Block. ICCAD 2020: 104:1-104:9 - [c200]Huanrui Yang, Wei Wen, Hai Li:
DeepHoyer: Learning Sparser Neural Network with Differentiable Scale-Invariant Sparsity Measures. ICLR 2020 - [c199]Shiyu Li, Edward Hanson, Hai Li, Yiran Chen:
PENNI: Pruned Kernel Sharing for Efficient CNN Inference. ICML 2020: 5863-5873 - [c198]Chunpeng Wu, Hai Li:
Conditional Transferring Features: Scaling GANs to Thousands of Classes with 30% Less High-Quality Data for Training. IJCNN 2020: 1-8 - [c197]Chunpeng Wu, Bin Ni, Hai Li:
Redistributing and Re-Stylizing Features for Training a Fast Photorealistic Stylizer. IJCNN 2020: 1-8 - [c196]Bokyung Kim, Hai Li:
Leveraging 3D Vertical RRAM to Developing Neuromorphic Architecture for Pattern Classification. ISVLSI 2020: 258-263 - [c195]Wei Wen, Feng Yan, Yiran Chen, Hai Li:
AutoGrow: Automatic Layer Growing in Deep Convolutional Networks. KDD 2020: 833-841 - [c194]Huanrui Yang, Jingyang Zhang, Hongliang Dong, Nathan Inkawhich, Andrew Gardner, Andrew Touchet, Wesley Wilkes, Heath Berry, Hai Li:
DVERGE: Diversifying Vulnerabilities for Enhanced Robust Generation of Ensembles. NeurIPS 2020 - [e4]Ulf Schlichtmann, Raviv Gal, Hussam Amrouch, Hai (Helen) Li:
MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, Virtual Event, Iceland, November 16-20, 2020. ACM 2020, ISBN 978-1-4503-7519-1 [contents] - [i53]Huanrui Yang, Minxue Tang, Wei Wen, Feng Yan, Daniel Hu, Ang Li, Hai Li, Yiran Chen:
Learning Low-rank Deep Neural Networks via Singular Vector Orthogonality Regularization and Singular Value Sparsification. CoRR abs/2004.09031 (2020) - [i52]Shiyu Li, Edward Hanson, Hai Li, Yiran Chen:
PENNI: Pruned Kernel Sharing for Efficient CNN Inference. CoRR abs/2005.07133 (2020) - [i51]Chaofei Yang, Lei Ding, Yiran Chen, Hai Helen Li:
Defending against GAN-based Deepfake Attacks via Transformation-aware Adversarial Faces. CoRR abs/2006.07421 (2020) - [i50]Hsin-Pai Cheng, Tunhou Zhang, Shiyu Li, Feng Yan, Meng Li, Vikas Chandra, Hai Helen Li, Yiran Chen:
NASGEM: Neural Architecture Search via Graph Embedding Method. CoRR abs/2007.04452 (2020) - [i49]Ang Li, Jingwei Sun, Binghui Wang, Lin Duan, Sicheng Li, Yiran Chen, Hai Li:
LotteryFL: Personalized and Communication-Efficient Federated Learning with Lottery Ticket Hypothesis on Non-IID Datasets. CoRR abs/2008.03371 (2020) - [i48]Houxiang Fan, Binghui Wang, Pan Zhou, Ang Li, Meng Pang, Zichuan Xu, Cai Fu, Hai Li, Yiran Chen:
Reinforcement Learning-based Black-Box Evasion Attacks to Link Prediction in Dynamic Graphs. CoRR abs/2009.00163 (2020) - [i47]Binghui Wang, Tianxiang Zhou, Minhua Lin, Pan Zhou, Ang Li, Meng Pang, Cai Fu, Hai Li, Yiran Chen:
Evasion Attacks to Graph Neural Networks via Influence Function. CoRR abs/2009.00203 (2020) - [i46]Huanrui Yang, Jingyang Zhang, Hongliang Dong, Nathan Inkawhich, Andrew Gardner, Andrew Touchet, Wesley Wilkes, Heath Berry, Hai Li:
DVERGE: Diversifying Vulnerabilities for Enhanced Robust Generation of Ensembles. CoRR abs/2009.14720 (2020) - [i45]Linghao Song, Fan Chen, Xuehai Qian, Hai Li, Yiran Chen:
Low-Cost Floating-Point Processing in ReRAM for Scientific Computing. CoRR abs/2011.03190 (2020) - [i44]Zhiyao Xie, Hai Li, Xiaoqing Xu, Jiang Hu, Yiran Chen:
Fast IR Drop Estimation with Machine Learning. CoRR abs/2011.13491 (2020) - [i43]Hsin-Pai Cheng, Feng Liang, Meng Li, Bowen Cheng, Feng Yan, Hai Li, Vikas Chandra, Yiran Chen:
ScaleNAS: One-Shot Learning of Scale-Aware Representations for Visual Recognition. CoRR abs/2011.14584 (2020) - [i42]Binghui Wang, Ang Li, Hai Li, Yiran Chen:
GraphFL: A Federated Learning Framework for Semi-Supervised Node Classification on Graphs. CoRR abs/2012.04187 (2020) - [i41]Jingwei Sun, Ang Li, Binghui Wang, Huanrui Yang, Hai Li, Yiran Chen:
Provable Defense against Privacy Leakage in Federated Learning from Representation Perspective. CoRR abs/2012.06043 (2020) - [i40]Chang Song, Elias Fallon, Hai Helen Li:
Improving Adversarial Robustness in Weight-quantized Neural Networks. CoRR abs/2012.14965 (2020)
2010 – 2019
- 2019
- [j51]Bonan Yan, Bing Li, Ximing Qiao, Cheng-Xin Xue, Meng-Fan Chang, Yiran Chen, Hai Helen Li:
Resistive Memory-Based In-Memory Computing: From Device and Large-Scale Integration System Perspectives. Adv. Intell. Syst. 1(7): 1900068 (2019) - [j50]Linghao Song, You Wu, Xuehai Qian, Hai Li, Yiran Chen:
ReBNN: in-situ acceleration of binarized neural networks in ReRAM using complementary resistive cell. CCF Trans. High Perform. Comput. 1(3-4): 196-208 (2019) - [j49]Bing Li, Mengjie Mao, Xiaoxiao Liu, Tao Liu, Zihao Liu, Wujie Wen, Yiran Chen, Hai (Helen) Li:
Thread Batching for High-performance Energy-efficient GPU Memory Design. ACM J. Emerg. Technol. Comput. Syst. 15(4): 39:1-39:21 (2019) - [j48]Shuo Li, Nong Xiao, Peng Wang, Guangyu Sun, Xiaoyang Wang, Yiran Chen, Hai Helen Li, Jason Cong, Tao Zhang:
RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses. IEEE Trans. Computers 68(2): 239-254 (2019) - [j47]Jianlei Yang, Xueyan Wang, Qiang Zhou, Zhaohao Wang, Hai Li, Yiran Chen, Weisheng Zhao:
Exploiting Spin-Orbit Torque Devices As Reconfigurable Logic for Circuit Obfuscation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(1): 57-69 (2019) - [j46]Massimo Alioto, Magdy S. Abadir, Tughrul Arslan, Chirn Chye Boon, Andreas Burg, Chip-Hong Chang, Meng-Fan Chang, Yao-Wen Chang, Poki Chen, Pasquale Corsonello, Paolo Crovetti, Shiro Dosho, Rolf Drechsler, Ibrahim Abe M. Elfadel, Ruonan Han, Masanori Hashimoto, Chun-Huat Heng, Deukhyoun Heo, Tsung-Yi Ho, Houman Homayoun, Yuh-Shyan Hwang, Ajay Joshi, Rajiv V. Joshi, Tanay Karnik, Chulwoo Kim, Tony Tae-Hyoung Kim, Jaydeep Kulkarni, Volkan Kursun, Yoonmyung Lee, Hai Helen Li, Huawei Li, Prabhat Mishra, Baker Mohammad, Mehran Mozaffari Kermani, Makoto Nagata, Koji Nii, Partha Pratim Pande, Bipul C. Paul, Vasilis F. Pavlidis, José Pineda de Gyvez, Ioannis Savidis, Patrick Schaumont, Fabio Sebastiano, Anirban Sengupta, Mingoo Seok, Mircea R. Stan, Mark M. Tehranipoor, Aida Todri-Sanial, Marian Verhelst, Valerio Vignoli, Xiaoqing Wen, Jiang Xu, Wei Zhang, Zhengya Zhang, Jun Zhou, Mark Zwolinski, Stacey Weber:
Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory. IEEE Trans. Very Large Scale Integr. Syst. 27(2): 253-280 (2019) - [c193]Xin Liu, Huanrui Yang, Ziwei Liu, Linghao Song, Yiran Chen, Hai Li:
DPATCH: An Adversarial Patch Attack on Object Detectors. SafeAI@AAAI 2019 - [c192]Huanrui Yang, Jingchi Zhang, Hsin-Pai Cheng, Wenhan Wang, Yiran Chen, Hai Li:
Bamboo: Ball-Shape Data Augmentation Against Adversarial Attacks from All Directions. SafeAI@AAAI 2019 - [c191]Xuyang Guo, Yuanjun Huang, Hsin-Pai Cheng, Bing Li, Wei Wen, Siyuan Ma, Hai Helen Li, Yiran Chen:
Exploration of Automatic Mixed-Precision Search for Deep Neural Networks. AICAS 2019: 276-278 - [c190]Bing Li, Bonan Yan, Chenchen Liu, Hai (Helen) Li:
Build reliable and efficient neuromorphic design with memristor technology. ASP-DAC 2019: 224-229 - [c189]Chuhan Min, Jiachen Mao, Hai Li, Yiran Chen:
NeuralHMC: an efficient HMC-based accelerator for deep neural networks. ASP-DAC 2019: 394-399 - [c188]Hsin-Pai Cheng, Juncheng Shen, Huanrui Yang, Qing Wu, Hai Li, Yiran Chen:
AdverQuil: an efficient adversarial detection and alleviation technique for black-box neuromorphic computing systems. ASP-DAC 2019: 518-525 - [c187]Hsin-Pai Cheng, Patrick Yu, Haojing Hu, Syed Zawad, Feng Yan, Shiyu Li, Hai Helen Li, Yiran Chen:
Towards Decentralized Deep Learning with Differential Privacy. CLOUD 2019: 130-145 - [c186]Paul Bogdan, Fan Chen, Aryan Deshwal, Janardhan Rao Doppa, Biresh Kumar Joardar, Hai (Helen) Li, Shahin Nazarian, Linghao Song, Yao Xiao:
Taming extreme heterogeneity via machine learning based design of autonomous manycore systems. CODES+ISSS 2019: 21:1-21:10 - [c185]Nathan Inkawhich, Wei Wen, Hai (Helen) Li, Yiran Chen:
Feature Space Perturbations Yield More Transferable Adversarial Examples. CVPR 2019: 7066-7074 - [c184]Jiachen Mao, Qing Yang, Ang Li, Hai Helen Li, Yiran Chen:
MobiEye: An Efficient Cloud-based Video Detection System for Real-time Mobile Applications. DAC 2019: 102 - [c183]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
ZARA: A Novel Zero-free Dataflow Accelerator for Generative Adversarial Networks in 3D ReRAM. DAC 2019: 133 - [c182]Biresh Kumar Joardar, Bing Li, Janardhan Rao Doppa, Hai Li, Partha Pratim Pande, Krishnendu Chakrabarty:
REGENT: A Heterogeneous ReRAM/GPU-based Architecture Enabled by NoC for Training CNNs. DATE 2019: 522-527 - [c181]Shuhang Zhang, Grace Li Zhang, Bing Li, Hai Helen Li, Ulf Schlichtmann:
Aging-aware Lifetime Enhancement for Memristor-based Neuromorphic Computing. DATE 2019: 1751-1756 - [c180]Zichen Fan, Ziru Li, Bing Li, Yiran Chen, Hai Helen Li:
RED: A ReRAM-based Deconvolution Accelerator. DATE 2019: 1763-1768 - [c179]Yazhu Lan, Qingli Guo, Guohe Zhang, Yuanchao Xu, Kent W. Nixon, Hai Helen Li, Yiran Chen:
Fast Confidence Detection: One Hot Way to Detect Adversarial Attacks via Sensor Pattern Noise Fingerprinting. FPGA 2019: 188-189 - [c178]Bing Li, Bonan Yan, Hai Li:
An Overview of In-memory Processing with Emerging Non-volatile Memory for Data-intensive Applications. ACM Great Lakes Symposium on VLSI 2019: 381-386 - [c177]Fan Chen, Linghao Song, Hai (Helen) Li:
Efficient Process-in-Memory Architecture Design for Unsupervised GAN-based Deep Learning using ReRAM. ACM Great Lakes Symposium on VLSI 2019: 423-428 - [c176]Linghao Song, Jiachen Mao, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
HyPar: Towards Hybrid Parallelism for Deep Learning Accelerator Array. HPCA 2019: 56-68 - [c175]Jingchi Zhang, Wei Wen, Michael Deisher, Hsin-Pai Cheng, Hai Helen Li, Yiran Chen:
Learning Efficient Sparse Structures in Speech Recognition. ICASSP 2019: 2717-2721 - [c174]Fan Chen, Wei Wen, Linghao Song, Jingchi Zhang, Hai Helen Li, Yiran Chen:
How to Obtain and Run Light and Efficient Deep Learning Networks. ICCAD 2019: 1-5 - [c173]Hsin-Pai Cheng, Tunhou Zhang, Yukun Yang, Feng Yan, Harris Teague, Yiran Chen, Hai Li:
MSNet: Structural Wired Neural Architecture Search for Internet of Things. ICCV Workshops 2019: 2033-2036 - [c172]Chang Song, Zuoguan Wang, Hai Li:
Feedback Learning for Improving the Robustness of Neural Networks. ICMLA 2019: 686-693 - [c171]Qing Yang, Wei Wen, Zuoguan Wang, Hai Li:
Joint Regularization on Activations and Weights for Efficient Neural Network Pruning. ICMLA 2019: 790-797 - [c170]Qing Yang, Jiachen Mao, Zuoguan Wang, Hai Li:
DASNet: Dynamic Activation Sparsity for Neural Network Efficiency Improvement. ICTAI 2019: 1401-1405 - [c169]Qilin Zheng, Jian Kang, Zongwei Wang, Yimao Cai, Ru Huang, Bing Li, Yiran Chen, Hai Helen Li:
Enhance the Robustness to Time Dependent Variability of ReRAM-Based Neuromorphic Computing Systems with Regularization and 2R Synapse. ISCAS 2019: 1-5 - [c168]Jingyang Zhang, Huanrui Yang, Fan Chen, Yitu Wang, Hai Li:
Exploring Bit-Slice Sparsity in Deep Neural Networks for Efficient ReRAM-Based Deployment. EMC2@NeurIPS 2019: 1-5 - [c167]Ximing Qiao, Yukun Yang, Hai Li:
Defending Neural Backdoors via Generative Distribution Modeling. NeurIPS 2019: 14004-14013 - [p1]Amr Mahmoud Hassan, Chenchen Liu, Chaofei Yang, Hai (Helen) Li, Yiran Chen:
Designing Neuromorphic Computing Systems with Memristor Devices. Handbook of Memristor Networks 2019: 469-494 - [i39]Linghao Song, Jiachen Mao, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
HyPar: Towards Hybrid Parallelism for Deep Learning Accelerator Array. CoRR abs/1901.02067 (2019) - [i38]Matthew Inkawhich, Yiran Chen, Hai Helen Li:
Snooping Attacks on Deep Reinforcement Learning. CoRR abs/1905.11832 (2019) - [i37]Wei Wen, Feng Yan, Hai Helen Li:
AutoGrow: Automatic Layer Growing in Deep Convolutional Networks. CoRR abs/1906.02909 (2019) - [i36]Bing Li, Mengjie Mao, Xiaoxiao Liu, Tao Liu, Zihao Liu, Wujie Wen, Yiran Chen, Hai Helen Li:
Thread Batching for High-performance Energy-efficient GPU Memory Design. CoRR abs/1906.05922 (2019) - [i35]Bing Li, Bonan Yan, Hai Li:
An Overview of In-memory Processing with Emerging Non-volatile Memory for Data-intensive Applications. CoRR abs/1906.06603 (2019) - [i34]Qing Yang, Wei Wen, Zuoguan Wang, Hai Li:
Joint Pruning on Activations and Weights for Efficient Neural Networks. CoRR abs/1906.07875 (2019) - [i33]Hsin-Pai Cheng, Tunhou Zhang, Yukun Yang, Feng Yan, Shiyu Li, Harris Teague, Hai Helen Li, Yiran Chen:
SwiftNet: Using Graph Propagation as Meta-knowledge to Search Highly Representative Neural Architectures. CoRR abs/1906.08305 (2019) - [i32]Zichen Fan, Ziru Li, Bing Li, Yiran Chen, Hai Helen Li:
RED: A ReRAM-based Deconvolution Accelerator. CoRR abs/1907.02987 (2019) - [i31]Huanrui Yang, Wei Wen, Hai Li:
DeepHoyer: Learning Sparser Neural Network with Differentiable Scale-Invariant Sparsity Measures. CoRR abs/1908.09979 (2019) - [i30]Chang Song, Zuoguan Wang, Hai Li:
Feedback Learning for Improving the Robustness of Neural Networks. CoRR abs/1909.05443 (2019) - [i29]Qing Yang, Jiachen Mao, Zuoguan Wang, Hai Li:
DASNet: Dynamic Activation Sparsity for Neural Network Efficiency Improvement. CoRR abs/1909.06964 (2019) - [i28]Juncheng Shen, Juzheng Liu, Yiran Chen, Hai Li:
Towards Efficient and Secure Delivery of Data for Deep Learning with Privacy-Preserving. CoRR abs/1909.07632 (2019) - [i27]Jingyang Zhang, Huanrui Yang, Fan Chen, Yitu Wang, Hai Li:
Exploring Bit-Slice Sparsity in Deep Neural Networks for Efficient ReRAM-Based Deployment. CoRR abs/1909.08496 (2019) - [i26]Chunpeng Wu, Wei Wen, Yiran Chen, Hai Li:
Conditional Transferring Features: Scaling GANs to Thousands of Classes with 30% Less High-quality Data for Training. CoRR abs/1909.11308 (2019) - [i25]Ximing Qiao, Yukun Yang, Hai Li:
Defending Neural Backdoors via Generative Distribution Modeling. CoRR abs/1910.04749 (2019) - [i24]Jingchi Zhang, Jonathan Huang, Michael Deisher, Hai Li, Yiran Chen:
Structural sparsification for Far-field Speaker Recognition with GNA. CoRR abs/1910.11488 (2019) - [i23]Tunhou Zhang, Hsin-Pai Cheng, Zhenwen Li, Feng Yan, Chengyu Huang, Hai Helen Li, Yiran Chen:
AutoShrink: A Topology-aware NAS for Discovering Efficient Neural Architecture. CoRR abs/1911.09251 (2019) - [i22]Wei Wen, Hanxiao Liu, Hai Li, Yiran Chen, Gabriel Bender, Pieter-Jan Kindermans:
Neural Predictor for Neural Architecture Search. CoRR abs/1912.00848 (2019) - [i21]Krishnendu Chakrabarty, Tsung-Yi Ho, Hai Li, Ulf Schlichtmann:
Emerging Hardware Techniques and EDA Methodologies for Neuromorphic Computing (Dagstuhl Seminar 19152). Dagstuhl Reports 9(4): 43-58 (2019) - 2018
- [j45]Bonan Yan, Yiran Chen, Hai Li:
Challenges of memristor based neuromorphic computing system. Sci. China Inf. Sci. 61(6): 060425:1-060425:3 (2018) - [j44]Arindam Basu, Meng-Fan Chang, Elisabetta Chicca, Tanay Karnik, Hai Helen Li, Jae-sun Seo:
Guest Editorial Low-Power, Adaptive Neuromorphic Systems: Devices, Circuit, Architectures and Algorithms. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(1): 1-5 (2018) - [j43]Arindam Basu, Jyotibdha Acharya, Tanay Karnik, Huichu Liu, Hai Helen Li, Jae-sun Seo, Chang Song:
Low-Power, Adaptive Neuromorphic Systems: Recent Progress and Future Directions. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(1): 6-27 (2018) - [j42]Yiran Chen, Hai (Helen) Li, Chunpeng Wu, Chang Song, Sicheng Li, Chuhan Min, Hsin-Pai Cheng, Wei Wen, Xiaoxiao Liu:
Neuromorphic computing's yesterday, today, and tomorrow - an evolutional view. Integr. 61: 49-61 (2018) - [j41]Danghui Wang, Lang Ma, Meng Zhang, Jianfeng An, Hai Helen Li, Yiran Chen:
Shift-Optimized Energy-Efficient Racetrack-Based Main Memory. J. Circuits Syst. Comput. 27(5): 1850081:1-1850081:16 (2018) - [j40]Saraju P. Mohanty, Michael Hübner, Chun Jason Xue, Xin Li, Hai Li:
Guest Editorial Circuit and System Design Automation for Internet of Things. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(1): 3-6 (2018) - [j39]Zihao Liu, Mengjie Mao, Tao Liu, Xue Wang, Wujie Wen, Yiran Chen, Hai Li, Danghui Wang, Yukui Pei, Ning Ge:
TriZone: A Design of MLC STT-RAM Cache for Combined Performance, Energy, and Reliability Optimizations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10): 1985-1998 (2018) - [j38]Alex Pappachen James, Khaled N. Salama, Hai Li, Dalibor Biolek, Giacomo Indiveri, Leon O. Chua:
Guest Editorial: Special Issue on Large-Scale Memristive Systems and Neurochips for Computational Intelligence. IEEE Trans. Emerg. Top. Comput. Intell. 2(5): 320-323 (2018) - [c166]Xiaoxiao Liu, Wei Wen, Xuehai Qian, Hai Li, Yiran Chen:
Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. ASP-DAC 2018: 141-146 - [c165]Fan Chen, Zheng Li, Wang Kang, Weisheng Zhao, Hai Li, Yiran Chen:
Process variation aware data management for magnetic skyrmions racetrack memory. ASP-DAC 2018: 221-226 - [c164]Enes Eken, Ismail Bayram, Hai Helen Li, Yiran Chen:
Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization. ASP-DAC 2018: 375-380 - [c163]Bing Li, Wei Wen, Jiachen Mao, Sicheng Li, Yiran Chen, Hai Helen Li:
Running sparse and low-precision neural network: When algorithm meets hardware. ASP-DAC 2018: 534-539 - [c162]Xiaotao Jia, Jianlei Yang, Zhaohao Wang, Yiran Chen, Hai Helen Li, Weisheng Zhao:
Spintronics based stochastic computing for efficient Bayesian inference system. ASP-DAC 2018: 580-585 - [c161]Ximing Qiao, Xiong Cao, Huanrui Yang, Linghao Song, Hai Li:
Atomlayer: a universal reRAM-based CNN accelerator with atomic layer computation. DAC 2018: 103:1-103:6 - [c160]Bonan Yan, Xiong Cao, Hai (Helen) Li:
A neuromorphic design using chaotic mott memristor with relaxation oscillation. DAC 2018: 167:1-167:6 - [c159]Bonan Yan, Fan Chen, Yaojun Zhang, Chang Song, Hai Li, Yiran Chen:
Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices. DATE 2018: 109-112 - [c158]Houxiang Ji, Linghao Song, Li Jiang, Hai Helen Li, Yiran Chen:
ReCom: An efficient resistive accelerator for compressed deep neural networks. DATE 2018: 237-240 - [c157]Bing Li, Linghao Song, Fan Chen, Xuehai Qian, Yiran Chen, Hai Helen Li:
ReRAM-based accelerator for deep learning. DATE 2018: 815-820 - [c156]Amr M. Hassan, Aya F. Khalaf, Khaled S. Sayed, Hai Helen Li, Yiran Chen:
Real-Time Cardiac Arrhythmia Classification Using Memristor Neuromorphic Computing System. EMBC 2018: 2567-2570 - [c155]Peng Wang, Shuo Li, Guangyu Sun, Xiaoyang Wang, Yiran Chen, Hai Li, Jason Cong, Nong Xiao, Tao Zhang:
RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. HPCA 2018: 518-530 - [c154]Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Helen Li, Yiran Chen:
GraphR: Accelerating Graph Processing Using ReRAM. HPCA 2018: 531-543 - [c153]Fan Chen, Hai Li:
EMAT: an efficient multi-task architecture for transfer learning using ReRAM. ICCAD 2018: 33 - [c152]Kent W. Nixon, Jiachen Mao, Juncheng Shen, Huanrui Yang, Hai (Helen) Li, Yiran Chen:
SPN dash: fast detection of adversarial attacks on mobile via sensor pattern noise fingerprinting. ICCAD 2018: 132 - [c151]Wei Wen, Yuxiong He, Samyam Rajbhandari, Minjia Zhang, Wenhan Wang, Fang Liu, Bin Hu, Yiran Chen, Hai Li:
Learning Intrinsic Sparse Structures within Long Short-Term Memory. ICLR (Poster) 2018 - [c150]Hao Jiang, Kevin Yamada, Zizhe Ren, Thomas Kwok, Fu Luo, Qing Yang, Xiaorong Zhang, J. Joshua Yang, Qiangfei Xia, Yiran Chen, Hai Helen Li, Qing Wu, Mark Barnell:
Pulse-Width Modulation based Dot-Product Engine for Neuromorphic Computing System using Memristor Crossbar Array. ISCAS 2018: 1-4 - [c149]Bing Li, Fan Chen, Wang Kang, Weisheng Zhao, Yiran Chen, Hai Li:
Design and Data Management for Magnetic Racetrack Memory. ISCAS 2018: 1-4 - [c148]Qing Yang, Hai Li, Qing Wu:
A Quantized Training Method to Enhance Accuracy of ReRAM-based Neuromorphic Systems. ISCAS 2018: 1-5 - [c147]Chang Song, Hsin-Pai Cheng, Huanrui Yang, Sicheng Li, Chunpeng Wu, Qing Wu, Yiran Chen, Hai Li:
MAT: A Multi-strength Adversarial Training Method to Mitigate Adversarial Attacks. ISVLSI 2018: 476-481 - [i20]Jianlei Yang, Xueyan Wang, Qiang Zhou, Zhaohao Wang, Hai Li, Yiran Chen, Weisheng Zhao:
Exploiting Spin-Orbit Torque Devices as Reconfigurable Logic for Circuit Obfuscation. CoRR abs/1802.02789 (2018) - [i19]Wei Wen, Yandan Wang, Feng Yan, Cong Xu, Yiran Chen, Hai Li:
SmoothOut: Smoothing Out Sharp Minima for Generalization in Large-Batch Deep Learning. CoRR abs/1805.07898 (2018) - [i18]Xin Liu, Huanrui Yang, Linghao Song, Hai Li, Yiran Chen:
DPatch: Attacking Object Detectors with Adversarial Patches. CoRR abs/1806.02299 (2018) - [i17]Hsin-Pai Cheng, Yuanjun Huang, Xuyang Guo, Yifei Huang, Feng Yan, Hai Li, Yiran Chen:
Differentiable Fine-grained Quantization for Deep Neural Network Compression. CoRR abs/1810.10351 (2018) - [i16]Hsin-Pai Cheng, Patrick Yu, Haojing Hu, Feng Yan, Shiyu Li, Hai Li, Yiran Chen:
LEASGD: an Efficient and Privacy-Preserving Decentralized Algorithm for Distributed Learning. CoRR abs/1811.11124 (2018) - [i15]Nathan Inkawhich, Matthew Inkawhich, Yiran Chen, Hai Li:
Adversarial Attacks for Optical Flow-Based Action Recognition Classifiers. CoRR abs/1811.11875 (2018) - [i14]Jingyang Zhang, Hsin-Pai Cheng, Chunpeng Wu, Hai Li, Yiran Chen:
Towards Leveraging the Information of Gradients in Optimization-based Adversarial Attack. CoRR abs/1812.02524 (2018) - 2017
- [j37]Fen Cheng, Yan Yu, Zhongyuan Zhao, Nan Zhao, Yunfei Chen, Hai Li:
Power Allocation for Cache-Aided Small-Cell Networks With Limited Backhaul. IEEE Access 5: 1272-1283 (2017) - [j36]Hai (Helen) Li, Yiran Chen, Chenchen Liu, John Paul Strachan, Noraica Davila:
Looking Ahead for Resistive Memory Technology: A broad perspective on ReRAM technology for future storage and computing. IEEE Consumer Electron. Mag. 6(1): 94-103 (2017) - [j35]Yiran Chen, Hai Helen Li, Ismail Bayram, Enes Eken:
Recent Technology Advances of Emerging Memories. IEEE Des. Test 34(3): 8-22 (2017) - [j34]Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen:
Giant Spin-Hall assisted STT-RAM and logic design. Integr. 58: 253-261 (2017) - [j33]Mengjie Mao, Wujie Wen, Yaojun Zhang, Yiran Chen, Hai Li:
An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Trans. Computers 66(9): 1478-1490 (2017) - [j32]Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Helen Li, Yiran Chen:
FlexLevel NAND Flash Storage System Design to Reduce LDPC Latency. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(7): 1167-1180 (2017) - [j31]Miao Hu, Yiran Chen, J. Joshua Yang, Yu Wang, Hai Helen Li:
A Compact Memristor-Based Dynamic Synapse for Spiking Neural Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(8): 1353-1366 (2017) - [j30]Krishnendu Chakrabarty, Massimo Alioto, Bevan M. Baas, Chirn Chye Boon, Meng-Fan Chang, Naehyuck Chang, Yao-Wen Chang, Chip-Hong Chang, Shih-Chieh Chang, Poki Chen, Masud H. Chowdhury, Pasquale Corsonello, Ibrahim Abe M. Elfadel, Said Hamdioui, Masanori Hashimoto, Tsung-Yi Ho, Houman Homayoun, Yuh-Shyan Hwang, Rajiv V. Joshi, Tanay Karnik, Mehran Mozaffari Kermani, Chulwoo Kim, Tae-Hyoung Kim, Jaydeep P. Kulkarni, Eren Kursun, Erik Larsson, Hai (Helen) Li, Huawei Li, Patrick P. Mercier, Prabhat Mishra, Makoto Nagata, Arun S. Natarajan, Koji Nii, Partha Pratim Pande, Ioannis Savidis, Mingoo Seok, Sheldon X.-D. Tan, Mark M. Tehranipoor, Aida Todri-Sanial, Miroslav N. Velev, Xiaoqing Wen, Jiang Xu, Wei Zhang, Zhengya Zhang, Stacey Weber Jackson:
Editorial. IEEE Trans. Very Large Scale Integr. Syst. 25(1): 1-20 (2017) - [j29]Xiuyuan Bi, Mengjie Mao, Danghui Wang, Hai Helen Li:
Cross-Layer Optimization for Multilevel Cell STT-RAM Caches. IEEE Trans. Very Large Scale Integr. Syst. 25(6): 1807-1820 (2017) - [c146]Chenchen Liu, Qing Yang, Chi Zhang, Hao Jiang, Qing Wu, Hai Helen Li:
A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications. ASP-DAC 2017: 647-652 - [c145]Chuhan Min, Jie Guo, Hai Li, Yiran Chen:
Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer. ASP-DAC 2017: 764-769 - [c144]Yandan Wang, Wei Wen, Linghao Song, Hai Helen Li:
Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses. ASP-DAC 2017: 776-781 - [c143]Chunpeng Wu, Wei Wen, Tariq Afzal, Yongmei Zhang, Yiran Chen, Hai Li:
A Compact DNN: Approaching GoogLeNet-Level Accuracy of Classification and Domain Adaptation. CVPR 2017: 761-770 - [c142]Yandan Wang, Wei Wen, Beiye Liu, Donald M. Chiarulli, Hai (Helen) Li:
Group Scissor: Scaling Neuromorphic Computing Design to Large Neural Networks. DAC 2017: 85:1-85:6 - [c141]Chenchen Liu, Miao Hu, John Paul Strachan, Hai (Helen) Li:
Rescuing Memristor-based Neuromorphic Design with High Defects. DAC 2017: 87:1-87:6 - [c140]Hsin-Pai Cheng, Wei Wen, Chunpeng Wu, Sicheng Li, Hai Helen Li, Yiran Chen:
Understanding the design of IBM neurosynaptic system and its tradeoffs: A user perspective. DATE 2017: 139-144 - [c139]Amr M. Hassan, Chaofei Yang, Chenchen Liu, Hai Helen Li, Yiran Chen:
Hybrid spiking-based multi-layered self-learning neuromorphic system based on memristor crossbar arrays. DATE 2017: 776-781 - [c138]Sicheng Li, Wei Wen, Yu Wang, Song Han, Yiran Chen, Hai Li:
An FPGA Design Framework for CNN Sparsification and Acceleration. FCCM 2017: 28 - [c137]Linghao Song, Xuehai Qian, Hai Li, Yiran Chen:
PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. HPCA 2017: 541-552 - [c136]Jiachen Mao, Zhuwei Qin, Zirui Xu, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
AdaLearner: An adaptive distributed mobile learning system for neural networks. ICCAD 2017: 291-296 - [c135]Bonan Yan, Jianhua Joshua Yang, Qing Wu, Yiran Chen, Hai Li:
A closed-loop design to enhance weight stability of memristor based neural network chips. ICCAD 2017: 541-548 - [c134]Jiachen Mao, Zhongda Yang, Wei Wen, Chunpeng Wu, Linghao Song, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
MeDNN: A distributed mobile system with enhanced partition and deployment for large-scale DNNs. ICCAD 2017: 751-756 - [c133]Wei Wen, Cong Xu, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Coordinating Filters for Faster Deep Neural Networks. ICCV 2017: 658-666 - [c132]Jongsoo Park, Sheng R. Li, Wei Wen, Ping Tak Peter Tang, Hai Li, Yiran Chen, Pradeep Dubey:
Faster CNNs with Direct Sparse Convolutions and Guided Pruning. ICLR (Poster) 2017 - [c131]Amr M. Hassan, Hai Helen Li, Yiran Chen:
Hardware implementation of echo state networks using memristor double crossbar arrays. IJCNN 2017: 2171-2177 - [c130]DeJiao Niu, Rui Xue, Tao Cai, Hai Li, Kingsley Effah, Hang Zhang:
The New Large-Scale RNNLM System Based on Distributed Neuron. IPDPS Workshops 2017: 433-436 - [c129]Chenchen Liu, Fuqiang Liu, Hai (Helen) Li:
Brain-inspired computing accelerated by memristor technology. NANOCOM 2017: 17:1-17:6 - [c128]Wei Wen, Cong Xu, Feng Yan, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
TernGrad: Ternary Gradients to Reduce Communication in Distributed Deep Learning. NIPS 2017: 1509-1519 - [c127]Chang Song, Beiye Liu, Wei Wen, Hai Li, Yiran Chen:
A quantization-aware regularized learning method in multilevel memristor-based neuromorphic computing system. NVMSA 2017: 1-6 - [c126]Hai Helen Li:
W1A: Memories. SoCC 2017: 1 - [c125]Lucie Broyde, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
MobiCore: An adaptive hybrid approach for power-efficient CPU management on Android devices. SoCC 2017: 221-226 - [e3]Massimo Alioto, Hai Helen Li, Jürgen Becker, Ulf Schlichtmann, Ramalingam Sridhar:
30th IEEE International System-on-Chip Conference, SOCC 2017, Munich, Germany, September 5-8, 2017. IEEE 2017, ISBN 978-1-5386-4034-0 [contents] - [i13]Yandan Wang, Wei Wen, Linghao Song, Hai (Helen) Li:
Classification Accuracy Improvement for Neuromorphic Computing Systems with One-level Precision Synapses. CoRR abs/1701.01791 (2017) - [i12]Yandan Wang, Wei Wen, Beiye Liu, Donald M. Chiarulli, Hai Helen Li:
Group Scissor: Scaling Neuromorphic Computing Design to Big Neural Networks. CoRR abs/1702.03443 (2017) - [i11]Chaofei Yang, Qing Wu, Hai Li, Yiran Chen:
Generative Poisoning Attack Method Against Neural Networks. CoRR abs/1703.01340 (2017) - [i10]Chunpeng Wu, Wei Wen, Tariq Afzal, Yongmei Zhang, Yiran Chen, Hai Li:
A Compact DNN: Approaching GoogLeNet-Level Accuracy of Classification and Domain Adaptation. CoRR abs/1703.04071 (2017) - [i9]Wei Wen, Cong Xu, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Coordinating Filters for Faster Deep Neural Networks. CoRR abs/1703.09746 (2017) - [i8]Wei Wen, Cong Xu, Feng Yan, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
TernGrad: Ternary Gradients to Reduce Communication in Distributed Deep Learning. CoRR abs/1705.07878 (2017) - [i7]Chang Song, Hsin-Pai Cheng, Chunpeng Wu, Hai Li, Yiran Chen, Qing Wu:
A Multi-strength Adversarial Training Method to Mitigate Adversarial Attacks. CoRR abs/1705.09764 (2017) - [i6]Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
GraphR: Accelerating Graph Processing Using ReRAM. CoRR abs/1708.06248 (2017) - [i5]Wei Wen, Yuxiong He, Samyam Rajbhandari, Wenhan Wang, Fang Liu, Bin Hu, Yiran Chen, Hai Li:
Learning Intrinsic Sparse Structures within Long Short-term Memory. CoRR abs/1709.05027 (2017) - [i4]Xiaotao Jia, Jianlei Yang, Zhaohao Wang, Yiran Chen, Hai Li, Weisheng Zhao:
Spintronics based Stochastic Computing for Efficient Bayesian Inference System. CoRR abs/1711.01125 (2017) - 2016
- [j28]Jianlei Yang, Zhenyu Sun, Xiaobin Wang, Yiran Chen, Hai Li:
Spintronic Memristor as Interface Between DNA and Solid State Devices. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(2): 212-221 (2016) - [j27]Miao Hu, Yandan Wang, Wei Wen, Yu Wang, Hai Li:
Leveraging Stochastic Memristor Devices in Neuromorphic Hardware Systems. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(2): 235-246 (2016) - [j26]Chunpeng Wu, Hsin-Pai Cheng, Sicheng Li, Hai (Helen) Li, Yiran Chen:
ApesNet: a pixel-wise efficient segmentation network for embedded devices. IET Cyper-Phys. Syst.: Theory & Appl. 1(1): 78-85 (2016) - [j25]Shukai Duan, Zhekang Dong, Xiaofang Hu, Lidan Wang, Hai Li:
Small-world Hopfield neural networks with weight salience priority and memristor synapses for digit recognition. Neural Comput. Appl. 27(4): 837-844 (2016) - [j24]Zhenyu Sun, Xiuyuan Bi, Wenqing Wu, Sungjoo Yoo, Hai (Helen) Li:
Array Organization and Data Management Exploration in Racetrack Memory. IEEE Trans. Computers 65(4): 1041-1054 (2016) - [j23]Jianlei Yang, Peiyuan Wang, Yaojun Zhang, Yuanqing Cheng, Weisheng Zhao, Yiran Chen, Hai (Helen) Li:
Radiation-Induced Soft Error Analysis of STT-MRAM: A Device to Circuit Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3): 380-393 (2016) - [j22]Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, Jianhua Joshua Yang, Hai Li, Yiran Chen:
Harmonica: A Framework of Heterogeneous Computing Systems With Memristor-Based Neuromorphic Computing Accelerators. IEEE Trans. Circuits Syst. I Regul. Pap. 63-I(5): 617-628 (2016) - [j21]Hai (Helen) Li, Qinru Qiu, Yu Wang:
Guest Editorial: Design and Applications of Neuromorphic Computing System. IEEE Trans. Multi Scale Comput. Syst. 2(4): 223-224 (2016) - [j20]Fubing Mao, Yi-Chung Chen, Wei Zhang, Hai (Helen) Li, Bingsheng He:
Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration. ACM Trans. Design Autom. Electr. Syst. 21(4): 71:1-71:26 (2016) - [j19]Qinru Qiu, Zhe Li, Khadeer Ahmed, Wei Liu, Syed Faisal Habib, Hai (Helen) Li, Miao Hu:
A Neuromorphic Architecture for Context Aware Text Image Recognition. J. Signal Process. Syst. 84(3): 355-369 (2016) - [c124]Xian Zhang, Guangyu Sun, Yaojun Zhang, Yiran Chen, Hai Li, Wujie Wen, Jia Di:
A novel PUF based on cell error rate distribution of STT-RAM. ASP-DAC 2016: 342-347 - [c123]Wei Wen, Chunpeng Wu, Yandan Wang, Kent W. Nixon, Qing Wu, Mark Barnell, Hai Li, Yiran Chen:
A new learning method for inference accuracy, core occupation, and performance co-optimization on TrueNorth chip. DAC 2016: 18:1-18:6 - [c122]Mengjie Mao, Wujie Wen, Xiaoxiao Liu, Jingtong Hu, Danghui Wang, Yiran Chen, Hai Li:
TEMP: thread batch enabled memory partitioning for GPU. DAC 2016: 65:1-65:6 - [c121]Xue Wang, Mengjie Mao, Enes Eken, Wujie Wen, Hai Li, Yiran Chen:
Sliding Basket: An adaptive ECC scheme for runtime write failure suppression of STT-RAM cache. DATE 2016: 762-767 - [c120]Wujie Wen, Mengjie Mao, Hai Li, Yiran Chen, Yukui Pei, Ning Ge:
A holistic tri-region MLC STT-RAM design with combined performance, energy, and reliability optimizations. DATE 2016: 1285-1290 - [c119]Chunpeng Wu, Hsin-Pai Cheng, Sicheng Li, Hai Helen Li, Yiran Chen:
ApesNet: A Pixel-wise Efficient Segmentation Network. ESTIMedia 2016: 2-8 - [c118]Chaofei Yang, Beiye Liu, Yandan Wang, Yiran Chen, Hai Li, Xian Zhang, Guangyu Sun:
The Applications of NVM Technology in Hardware Security. ACM Great Lakes Symposium on VLSI 2016: 311-316 - [c117]Sicheng Li, Yandan Wang, Wujie Wen, Yu Wang, Yiran Chen, Hai Li:
A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel. ICCAD 2016: 14 - [c116]Chaofei Yang, Beiye Liu, Hai Li, Yiran Chen, Wujie Wen, Mark Barnell, Qing Wu, Jeyavijayan Rajendran:
Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect. ICCAD 2016: 97 - [c115]Chaofei Yang, Chunpeng Wu, Hai Li, Yiran Chen, Mark Barnell, Qing Wu:
Security challenges in smart surveillance systems and the solutions based on emerging nano-devices. ICCAD 2016: 109 - [c114]Chang Song, Beiye Liu, Chenchen Liu, Hai Li, Yiran Chen:
Design techniques of eNVM-enabled neuromorphic computing systems. ICCD 2016: 674-677 - [c113]Chenchen Liu, Yiran Chen, Hai Li:
Neural processor design enabled by memristor technology. ICRC 2016: 1-4 - [c112]Sicheng Li, Xiaoxiao Liu, Mengjie Mao, Hai (Helen) Li, Yiran Chen, Boxun Li, Yu Wang:
Heterogeneous systems with reconfigurable neuromorphic computing accelerators. ISCAS 2016: 125-128 - [c111]Somnath Chakraborty, Saumil Joshi, Qiangfei Xia, Hai Li, Yiran Chen, Hao Jiang, Qing Wu, Mark Barnell, J. Joshua Yang:
Built-in selectors self-assembled into memristors. ISCAS 2016: 181-184 - [c110]Beiye Liu, Chaofei Yang, Hai Li, Yiran Chen, Qing Wu, Mark Barnell:
Security of neuromorphic systems: Challenges and solutions. ISCAS 2016: 1326-1329 - [c109]Bonan Yan, Amr Mahmoud Mahmoud, Jianhua Joshua Yang, Qing Wu, Yiran Chen, Hai (Helen) Li:
A neuromorphic ASIC design using one-selector-one-memristor crossbar. ISCAS 2016: 1390-1393 - [c108]Zheng Li, Xiuyuan Bi, Hai (Helen) Li, Yiran Chen, Jianying Qin, Peng Guo, Wenjie Kong, Wenshan Zhan, Xiufeng Han, Hong Zhang, Lingling Wang, Guanping Wu, Hanming Wu:
Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ. ISLPED 2016: 4-9 - [c107]Chenchen Liu, Qing Yang, Bonan Yan, Jianlei Yang, Xiaocong Du, Weijie Zhu, Hao Jiang, Qing Wu, Mark Barnell, Hai Li:
A Memristor Crossbar Based Computing Engine Optimized for High Speed and Accuracy. ISVLSI 2016: 110-115 - [c106]Hsin-Pai Cheng, Wei Wen, Chang Song, Beiye Liu, Hai Li, Yiran Chen:
Exploring the optimal learning technique for IBM TrueNorth platform to overcome quantization loss. NANOARCH 2016: 185-190 - [c105]Wei Wen, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Learning Structured Sparsity in Deep Neural Networks. NIPS 2016: 2074-2082 - [c104]Jie Guo, Chuhan Min, Tao Cai, Hai Li, Yiran Chen:
Objnandsim: object-based NAND flash device simulator. NVMSA 2016: 1-6 - [c103]Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen:
Spin-Hall Assisted STT-RAM Design and Discussion. SLIP 2016: 7:1-7:4 - [i3]Wei Wen, Chunpeng Wu, Yandan Wang, Kent W. Nixon, Qing Wu, Mark Barnell, Hai Li, Yiran Chen:
A New Learning Method for Inference Accuracy, Core Occupation, and Performance Co-optimization on TrueNorth Chip. CoRR abs/1604.00697 (2016) - [i2]Jongsoo Park, Sheng R. Li, Wei Wen, Hai Li, Yiran Chen, Pradeep Dubey:
Holistic SparseCNN: Forging the Trident of Accuracy, Speed, and Size. CoRR abs/1608.01409 (2016) - [i1]Wei Wen, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Learning Structured Sparsity in Deep Neural Networks. CoRR abs/1608.03665 (2016) - 2015
- [j18]Yaojun Zhang, Yong Li, Zhenyu Sun, Hai Li, Yiran Chen, Alex K. Jones:
Read Performance: The Newest Barrier in Scaled STT-RAM. IEEE Trans. Very Large Scale Integr. Syst. 23(6): 1170-1174 (2015) - [c102]Chao Zhang, Guangyu Sun, Weiqi Zhang, Fan Mi, Hai Li, Weisheng Zhao:
Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power. ASP-DAC 2015: 100-105 - [c101]Xiaoxiao Liu, Mengjie Mao, Xiuyuan Bi, Hai Li, Yiran Chen:
An efficient STT-RAM-based register file in GPU architectures. ASP-DAC 2015: 490-495 - [c100]Hai (Helen) Li, Chenchen Liu, Bonan Yan, Chaofei Yang, Linghao Song, Zheng Li, Yiran Chen, Weijie Zhu, Qing Wu, Hao Jiang:
Spiking-based matrix computation by leveraging memristor crossbar array. CISDA 2015: 1-4 - [c99]Chenchen Liu, Bonan Yan, Chaofei Yang, Linghao Song, Zheng Li, Beiye Liu, Yiran Chen, Hai Li, Qing Wu, Hao Jiang:
A spiking neuromorphic design with resistive crossbar. DAC 2015: 14:1-14:6 - [c98]Beiye Liu, Hai Li, Yiran Chen, Xin Li, Qing Wu, Tingwen Huang:
Vortex: variation-aware training for memristor X-bar. DAC 2015: 15:1-15:6 - [c97]Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Hai Li, Yiran Chen, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, Jianhua Joshua Yang:
RENO: a high-efficient reconfigurable neuromorphic computing accelerator design. DAC 2015: 66:1-66:6 - [c96]Mengjie Mao, Jingtong Hu, Yiran Chen, Hai Li:
VWS: a versatile warp scheduler for exploring diverse cache localities of GPGPU applications. DAC 2015: 83:1-83:6 - [c95]Beiye Liu, Chunpeng Wu, Hai Li, Yiran Chen, Qing Wu, Mark Barnell, Qinru Qiu:
Cloning your mind: security challenges in cognitive system designs and their solutions. DAC 2015: 95:1-95:5 - [c94]Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Li, Yiran Chen:
FlexLevel: a novel NAND flash storage system design for LDPC latency reduction. DAC 2015: 194:1-194:6 - [c93]Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai Li, Yiran Chen:
Giant spin hall effect (GSHE) logic design for low power application. DATE 2015: 1000-1005 - [c92]Sicheng Li, Chunpeng Wu, Hai Li, Boxun Li, Yu Wang, Qinru Qiu:
FPGA Acceleration of Recurrent Neural Network Based Language Model. FCCM 2015: 111-118 - [c91]Hao Liang, Wei Zhang, Sharad Sinha, Yi-Chung Chen, Hai Li:
Hierarchical library based power estimator for versatile FPGAs. FPL 2015: 1 - [c90]Bonan Yan, Zheng Li, Yaojun Zhang, Jianlei Yang, Hai Li, Weisheng Zhao, Pierre Chor-Fung Chia:
A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback. ACM Great Lakes Symposium on VLSI 2015: 69-74 - [c89]Yu Wang, Tianqi Tang, Lixue Xia, Boxun Li, Peng Gu, Huazhong Yang, Hai Li, Yuan Xie:
Energy Efficient RRAM Spiking Neural Network for Real Time Classification. ACM Great Lakes Symposium on VLSI 2015: 189-194 - [c88]Yandan Wang, Wei Wen, Hai Li, Miao Hu:
A Novel True Random Number Generator Design Leveraging Emerging Memristor Technology. ACM Great Lakes Symposium on VLSI 2015: 271-276 - [c87]Hai Li, Beiye Liu, Xiaoxiao Liu, Mengjie Mao, Yiran Chen, Qing Wu, Qinru Qiu:
The applications of memristor devices in next-generation cortical processor designs. ISCAS 2015: 17-20 - [c86]Zheng Li, Bonan Yan, Lun Yang, Weisheng Zhao, Yiran Chen, Hai Li:
A new self-reference sensing scheme for TLC MRAM. ISCAS 2015: 593-596 - [c85]Hao Liang, Yi-Chung Chen, Tao Luo, Wei Zhang, Hai Li, Bingsheng He:
Hierarchical Library Based Power Estimator for Versatile FPGAs. MCSoC 2015: 25-32 - [c84]Beiye Liu, Xiaoxiao Liu, Chenchen Liu, Wei Wen, M. Meng, Hai Li, Yiran Chen:
Hardware acceleration for neuromorphic computing: An evolving view. NVMTS 2015: 1-4 - [c83]Hai (Helen) Li, Xiuyuan Bi, Zhenyu Sun:
The evolutionary spintronic technologies and their usage in high performance computing. SoCC 2015: 350-355 - [c82]Zheng Li, Chenchen Liu, Yandan Wang, Bonan Yan, Chaofei Yang, Jianlei Yang, Hai Li:
An overview on memristor crossabr based neuromorphic circuit and architecture. VLSI-SoC 2015: 52-56 - [e2]Alex K. Jones, Hai (Helen) Li, Ayse K. Coskun, Martin Margala:
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20 - 22, 2015. ACM 2015, ISBN 978-1-4503-3474-7 [contents] - 2014
- [j17]Miao Hu, Hai Li, Yiran Chen, Qing Wu, Garrett S. Rose, Richard W. Linderman:
Memristor Crossbar-Based Neuromorphic Computing System: A Case Study. IEEE Trans. Neural Networks Learn. Syst. 25(10): 1864-1878 (2014) - [j16]Zhenyu Sun, Xiuyuan Bi, Hai Li, Weng-Fai Wong, Xiaochun Zhu:
STT-RAM Cache Hierarchy With Multiretention MTJ Designs. IEEE Trans. Very Large Scale Integr. Syst. 22(6): 1281-1293 (2014) - [c81]Jianxing Wang, Yenni Tim, Weng-Fai Wong, Zhong-Liang Ong, Zhenyu Sun, Hai Li:
A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores. ASP-DAC 2014: 610-615 - [c80]Miao Hu, Yu Wang, Qinru Qiu, Yiran Chen, Hai Li:
The stochastic modeling of TiO2 memristor and its usage in neuromorphic system design. ASP-DAC 2014: 831-836 - [c79]Enes Eken, Yaojun Zhang, Wujie Wen, Rajiv V. Joshi, Hai Li, Yiran Chen:
A New Field-assisted Access Scheme of STT-RAM with Self-reference Capability. DAC 2014: 63:1-63:6 - [c78]Mengjie Mao, Wujie Wen, Yaojun Zhang, Yiran Chen, Hai (Helen) Li:
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory. DAC 2014: 196:1-196:6 - [c77]Boxun Li, Yu Wang, Yiran Chen, Hai (Helen) Li, Huazhong Yang:
ICE: Inline calibration for memristor crossbar-based computing engine. DATE 2014: 1-4 - [c76]Eunhyuk Park, Sungjoo Yoo, Sunggu Lee, Hai Helen Li:
Accelerating graph computation with racetrack memory and pointer-assisted graph representation. DATE 2014: 1-4 - [c75]Hao Liang, Yi-Chung Chen, Wei Zhang, Hai Li:
Hierarchical library-based power estimator for versatile FPGAs (abstract only). FPGA 2014: 243 - [c74]Fubing Mao, Yi-Chung Chen, Wei Zhang, Hai Li:
BMP: a fast B*-tree based modular placer for FPGAs (abstract only). FPGA 2014: 248 - [c73]Xiaoxiao Liu, Mengjie Mao, Hai Li, Yiran Chen, Hao Jiang, J. Joshua Yang, Qing Wu, Mark Barnell:
A heterogeneous computing system with memristor-based neuromorphic accelerators. HPEC 2014: 1-6 - [c72]Beiye Liu, Hai Li, Yiran Chen, Xin Li, Tingwen Huang, Qing Wu, Mark Barnell:
Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems. ICCAD 2014: 63-70 - [c71]Jianxing Wang, Pooja Roy, Weng-Fai Wong, Xiuyuan Bi, Hai Li:
Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration. ICCD 2014: 133-138 - [c70]Ling Chen, Chuandong Li, Tingwen Huang, Xing He, Hai Li, Yiran Chen:
STDP learning rule based on memristor with STDP property. IJCNN 2014: 1-6 - [c69]Xiaofang Hu, Gang Feng, Hai Li, Yiran Chen, Shukai Duan:
An adjustable memristor model and its application in small-world neural networks. IJCNN 2014: 7-14 - [c68]Qing Wu, Beiye Liu, Yiran Chen, Hai Li, Qiuwen Chen, Qinru Qiu:
Bio-inspired computing with resistive memories - models, architectures and applications. ISCAS 2014: 834-837 - [c67]Hai Li, Xiaoxiao Liu, Mengjie Mao, Yiran Chen, Qing Wu, Mark Barnell:
Neuromorphic hardware acceleration enabled by emerging technologies (Invited paper). ISIC 2014: 124-127 - [c66]Tianqi Tang, Rong Luo, Boxun Li, Hai Li, Yu Wang, Huazhong Yang:
Energy efficient spiking neural network design with RRAM devices. ISIC 2014: 268-271 - [c65]Zhenyu Sun, Xiuyuan Bi, Alex K. Jones, Hai Li:
Design exploration of racetrack lower-level caches. ISLPED 2014: 263-266 - [c64]Chenchen Liu, Hai Li:
A Weighted Sensing Scheme for ReRAM-Based Cross-Point Memory Array. ISVLSI 2014: 65-70 - [c63]Hai Li, Miao Hu, Chuandong Li, Shukai Duan:
Memristor Modeling - Static, Statistical, and Stochastic Methodologies. ISVLSI 2014: 406-411 - [c62]Qinru Qiu, Zhe Li, Khadeer Ahmed, Hai (Helen) Li, Miao Hu:
Neuromorphic acceleration for context aware text image recognition. SiPS 2014: 268-273 - [c61]Hai Li, Miao Hu, Xiaoxiao Liu, Mengjie Mao, Chuandong Li, Shukai Duan:
Emerging memristor technology enabled next generation cortical processor. SoCC 2014: 377-382 - [e1]Joseph R. Cavallaro, Tong Zhang, Alex K. Jones, Hai (Helen) Li:
Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014. ACM 2014, ISBN 978-1-4503-2816-6 [contents] - 2013
- [j15]Yiran Chen, Weng-Fai Wong, Hai Li, Cheng-Kok Koh, Yaojun Zhang, Wujie Wen:
On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations. ACM J. Emerg. Technol. Comput. Syst. 9(2): 16:1-16:22 (2013) - [j14]Yong Li, Yaojun Zhang, Hai Li, Yiran Chen, Alex K. Jones:
C1C: A configurable, compiler-guided STT-RAM L1 cache. ACM Trans. Archit. Code Optim. 10(4): 52:1-52:22 (2013) - [j13]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices. ACM Trans. Design Autom. Electr. Syst. 18(4): 57:1-57:18 (2013) - [c60]Miao Hu, Hai Li, Yiran Chen, Qing Wu, Garrett S. Rose:
BSB training scheme implementation on memristor-based circuit. CISDA 2013: 80-87 - [c59]Beiye Liu, Miao Hu, Hai Li, Yiran Chen, Chun Xue:
Bio-inspired ultra lower-power neuromorphic computing engine for embedded systems. CODES+ISSS 2013: 23:1 - [c58]Beiye Liu, Miao Hu, Hai Li, Zhi-Hong Mao, Yiran Chen, Tingwen Huang, Wei Zhang:
Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine. DAC 2013: 7:1-7:6 - [c57]Zhenyu Sun, Wenqing Wu, Hai (Helen) Li:
Cross-layer racetrack memory design for ultra high density and low power consumption. DAC 2013: 53:1-53:6 - [c56]Jie Guo, Wujie Wen, Yaojun Zhang, Sicheng Li, Hai Li, Yiran Chen:
DA-RAID-5: a disturb aware data protection technique for NAND flash storage systems. DATE 2013: 380-385 - [c55]Xiuyuan Bi, Mohamed Anis Weldon, Hai Li:
STT-RAM designs supporting dual-port accesses. DATE 2013: 853-858 - [c54]Yi-Chung Chen, Wei Zhang, Hai (Helen) Li:
A hardware security scheme for RRAM-based FPGA. FPL 2013: 1-4 - [c53]Mengjie Mao, Hai (Helen) Li, Alex K. Jones, Yiran Chen:
Coordinating prefetching and STT-RAM based last-level cache management for multicore systems. ACM Great Lakes Symposium on VLSI 2013: 55-60 - [c52]Yaojun Zhang, Ismail Bayram, Yu Wang, Hai Li, Yiran Chen:
ADAMS: asymmetric differential STT-RAM cell structure for reliable and high-performance applications. ICCAD 2013: 9-16 - [c51]Qiuwen Chen, Qinru Qiu, Hai Li, Qing Wu:
A neuromorphic architecture for anomaly detection in autonomous large-area traffic monitoring. ICCAD 2013: 202-205 - [c50]Xiuyuan Bi, Mengjie Mao, Danghui Wang, Hai Li:
Unleashing the potential of MLC STT-RAM caches. ICCAD 2013: 429-436 - [c49]Feng Ji, Hai (Helen) Li, Bryant T. Wysocki, Clare Thiem, Nathan R. McDonald:
Memristor-based synapse design and a case study in reconfigurable systems. IJCNN 2013: 1-6 - [c48]Jianxing Wang, Yenni Tim, Weng-Fai Wong, Hai (Helen) Li:
A practical low-power memristor-based analog neural branch predictor. ISLPED 2013: 175-180 - [c47]Zhijie Chen, Lu Zhang, Xiuyuan Bi, Hai Li:
A pseudo-weighted sensing scheme for memristor based cross-point memory. NANOARCH 2013: 38-39 - 2012
- [j12]Zhenyu Sun, Xiang Chen, Yaojun Zhang, Hai Li, Yiran Chen:
Nonvolatile Memories as the Data Storage System for Implantable ECG Recorder. ACM J. Emerg. Technol. Comput. Syst. 8(2): 13:1-13:16 (2012) - [j11]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme. IEEE J. Solid State Circuits 47(2): 560-573 (2012) - [j10]Zhenyu Sun, Hai Li, Yiran Chen, Xiaobin Wang:
Voltage Driven Nondestructive Self-Reference Sensing Scheme of Spin-Transfer Torque Memory. IEEE Trans. Very Large Scale Integr. Syst. 20(11): 2020-2030 (2012) - [c46]Yi-Chung Chen, Wei Zhang, Hai Li:
A Look Up Table design with 3D bipolar RRAMs. ASP-DAC 2012: 73-78 - [c45]Xiang Chen, Jian Zheng, Yiran Chen, Wei Zhang, Hai Li:
Fine-grained dynamic voltage scaling on OLED display. ASP-DAC 2012: 807-812 - [c44]Miao Hu, Hai Li, Qing Wu, Garrett S. Rose:
Hardware realization of BSB recall function using memristor crossbar arrays. DAC 2012: 498-503 - [c43]Robinson E. Pino, Hai (Helen) Li, Yiran Chen, Miao Hu, Beiye Liu:
Statistical memristor modeling and case study in neuromorphic computing. DAC 2012: 585-590 - [c42]Xiuyuan Bi, Chao Zhang, Hai Li, Yiran Chen, Robinson E. Pino:
Spintronic memristor based temperature sensor design with CMOS current reference. DATE 2012: 1301-1306 - [c41]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Architecting a common-source-line array for bipolar non-volatile memory devices. DATE 2012: 1451-1454 - [c40]Yi-Chung Chen, Wenhua Wang, Hai Li, Wei Zhang:
Non-volatile 3D stacking RRAM-based FPGA. FPL 2012: 367-372 - [c39]Yi-Chung Chen, Wenhua Wang, Wei Zhang, Hai Li:
uBRAM-based run-time reconfigurable FPGA and corresponding reconfiguration methodology. FPT 2012: 80-86 - [c38]Xiuyuan Bi, Zhenyu Sun, Hai Li, Wenqing Wu:
Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches. ICCAD 2012: 88-94 - [c37]Miao Hu, Hai Li, Qing Wu, Garrett S. Rose, Yiran Chen:
Memristor crossbar based hardware realization of BSB recall function. IJCNN 2012: 1-7 - [c36]Hui Wang, Hai Li, Robinson E. Pino:
Memristor-based synapse design and training scheme for neuromorphic computing architecture. IJCNN 2012: 1-5 - [c35]Yi-Chung Chen, Hai Li, Wei Zhang:
A novel peripheral circuit for RRAM-based LUT. ISCAS 2012: 1811-1814 - [c34]Zhenyu Sun, Hai Li, Wenqing Wu:
A dual-mode architecture for fast-switching STT-RAM. ISLPED 2012: 45-50 - [c33]Zhenyu Sun, Xiuyuan Bi, Hai Li:
Process variation aware data management for STT-RAM cache design. ISLPED 2012: 179-184 - [c32]Xiuyuan Bi, Hai Li, Jae-Joon Kim:
Analysis and Optimization of Thermal Effect on STT-RAM Based 3-D Stacked Cache Design. ISVLSI 2012: 374-379 - [c31]Hai Li:
Memristor in neuromorphic computing. SoCC 2012: 294 - [r1]Yiran Chen, Hai Li, Yuan Xie, Dimin Niu:
Low-Power Design of Emerging Memory Technologies. Handbook of Energy-Aware and Green Computing 2012: 67-90 - 2011
- [j9]Xiangyu Dong, Xiaoxia Wu, Yuan Xie, Yiran Chen, Hai (Helen) Li:
Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation. IET Comput. Digit. Tech. 5(3): 213-220 (2011) - [j8]Miao Hu, Hai (Helen) Li, Yiran Chen, Xiaobin Wang:
Spintronic Memristor: Compact Model and Statistical Analysis. J. Low Power Electron. 7(2): 234-244 (2011) - [c30]Miao Hu, Hai Li, Yiran Chen, Xiaobin Wang, Robinson E. Pino:
Geometry variations analysis of TiO2 thin-film and spintronic memristors. ASP-DAC 2011: 25-30 - [c29]Yiran Chen, Hai Li:
Emerging sensing techniques for emerging memories. ASP-DAC 2011: 204-210 - [c28]Peiyuan Wang, Xiang Chen, Yiran Chen, Hai Li, Seung-Hyuk Kang, Xiaochun Zhu, Wenqing Wu:
A 1.0V 45nm nonvolatile magnetic latch design and its robustness analysis. CICC 2011: 1-4 - [c27]Chun Jason Xue, Youtao Zhang, Yiran Chen, Guangyu Sun, Jianhua Joshua Yang, Hai Li:
Emerging non-volatile memories: opportunities and challenges. CODES+ISSS 2011: 325-334 - [c26]Yi-Chung Chen, Hai Li, Yiran Chen, Robinson E. Pino:
3D-ICML: A 3D bipolar ReRAM design with interleaved complementary memory layers. DATE 2011: 583-586 - [c25]Rajiv V. Joshi, Rouwaida Kanj, Peiyuan Wang, Hai Li:
Universal statistical cure for predicting memory loss. ICCAD 2011: 236-239 - [c24]Miao Hu, Hai Li, Robinson E. Pino:
Fast statistical model of TiO2 thin-film memristor and design implication. ICCAD 2011: 345-352 - [c23]Yiran Chen, Weng-Fai Wong, Hai Li, Cheng-Kok Koh:
Processor caches with multi-level spin-transfer torque ram cells. ISLPED 2011: 73-78 - [c22]Zhenyu Sun, Xiuyuan Bi, Hai (Helen) Li, Weng-Fai Wong, Zhong-Liang Ong, Xiaochun Zhu, Wenqing Wu:
Multi retention level STT-RAM cache designs with a dynamic refresh scheme. MICRO 2011: 329-338 - [c21]Yi-Chung Chen, Hai Li, Wei Zhang, Robinson E. Pino:
3D-HIM: A 3D High-density Interleaved Memory for bipolar RRAM design. NANOARCH 2011: 59-64 - 2010
- [j7]Yiran Chen, Hai Li, Cheng-Kok Koh, Guangyu Sun, Jing Li, Yuan Xie, Kaushik Roy:
Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1621-1624 (2010) - [j6]Yiran Chen, Xiaobin Wang, Hai Li, Haiwen Xi, Yuan Yan, Wenzhong Zhu:
Design Margin Exploration of Spin-Transfer Torque RAM (STT-RAM) in Scaled Technologies. IEEE Trans. Very Large Scale Integr. Syst. 18(12): 1724-1734 (2010) - [c20]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
A nondestructive self-reference scheme for Spin-Transfer Torque Random Access Memory (STT-RAM). DATE 2010: 148-153 - [c19]Hai Li, Miao Hu:
Compact model of memristors and its application in computing systems. DATE 2010: 673-678 - [c18]Guangyu Sun, Yongsoo Joo, Yibo Chen, Dimin Niu, Yuan Xie, Yiran Chen, Hai Li:
A Hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement. HPCA 2010: 1-12 - [c17]Zhenyu Sun, Hai Li, Yiran Chen, Xiaobin Wang:
Variation tolerant sensing scheme of Spin-Transfer Torque Memory for yield improvement. ICCAD 2010: 432-437 - [c16]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM. ISLPED 2010: 1-6 - [c15]Yiran Chen, Wei Tian, Hai Li, Xiaobin Wang, Wenzhong Zhu:
Scalability of PCMO-based resistive switch device in DSM technologies. ISQED 2010: 327-332
2000 – 2009
- 2009
- [j5]Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen, Hai Li:
Tolerating process variations in large, set-associative caches: The buddy cache. ACM Trans. Archit. Code Optim. 6(2): 8:1-8:34 (2009) - [j4]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Gated Decap: Gate Leakage Control of On-Chip Decoupling Capacitors in Scaled Technologies. IEEE Trans. Very Large Scale Integr. Syst. 17(12): 1749-1752 (2009) - [c14]Hai Li, Yiran Chen:
An overview of non-volatile memory technology and the implication for tools and architectures. DATE 2009: 731-736 - [c13]Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen, Hai Li:
The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies. ICCD 2009: 268-274 - [c12]Hai Li, Haiwen Xi, Yiran Chen, John Stricklin, Xiaobin Wang, Tong Zhang:
Thermal-Assisted Spin Transfer Torque Memory (STT-RAM) Cell Design Exploration. ISVLSI 2009: 217-222 - 2008
- [c11]Xiangyu Dong, Xiaoxia Wu, Guangyu Sun, Yuan Xie, Hai Li, Yiran Chen:
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. DAC 2008: 554-559 - [c10]Yiran Chen, Xiaobin Wang, Hai Li, Harry Liu, Dimitar V. Dimitrov:
Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM). ISQED 2008: 684-690 - 2007
- [c9]Weng-Fai Wong, Cheng-Kok Koh, Yiran Chen, Hai Li:
VOSCH: Voltage scaled cache hierarchies. ICCD 2007: 496-503 - [c8]Yiran Chen, Hai Li, Jing Li, Cheng-Kok Koh:
Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI. ISLPED 2007: 195-200 - 2006
- [c7]Hai Li, Yiran Chen, Kaushik Roy, Cheng-Kok Koh:
SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. ASP-DAC 2006: 158-163 - 2005
- [j3]Hai Li, Chen-Yong Cher, Kaushik Roy, T. N. Vijaykumar:
Combined circuit and architectural level variable supply-voltage scaling for low power. IEEE Trans. Very Large Scale Integr. Syst. 13(5): 564-576 (2005) - [c6]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Gated Decap: gate leakage control of on-chip decoupling capacitors in scaled technologies. CICC 2005: 775-778 - [c5]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Cascaded carry-select adder (C2SA): a new structure for low-power CSA design. ISLPED 2005: 115-118 - 2004
- [j2]Hai Li, Swarup Bhunia, Yiran Chen, Kaushik Roy, T. N. Vijaykumar:
DCG: deterministic clock-gating for low-power microprocessor design. IEEE Trans. Very Large Scale Integr. Syst. 12(3): 245-254 (2004) - 2003
- [j1]Amit Agarwal, Hai Li, Kaushik Roy:
A single-Vt low-leakage gated-ground cache for deep submicron. IEEE J. Solid State Circuits 38(2): 319-328 (2003) - [c4]Hai Li, Swarup Bhunia, Yiran Chen, T. N. Vijaykumar, Kaushik Roy:
Deterministic Clock Gating for Microprocessor Power Reduction. HPCA 2003: 113-122 - [c3]Hai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy:
VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. MICRO 2003: 19-28 - 2002
- [c2]Swarup Bhunia, Hai Li, Kaushik Roy:
A High Performance IDDQ Testable Cache for Scaled CMOS Technologies. Asian Test Symposium 2002: 157- - [c1]Amit Agarwal, Hai Li, Kaushik Roy:
DRG-cache: a data retention gated-ground cache for low power. DAC 2002: 473-478
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-06 21:33 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint