Lightmatter’s Post

View organization page for Lightmatter, graphic

26,733 followers

Lightmatter CEO Nicholas Harris recently sat down with Will Knight at WIRED to discuss Passage, the technology making it possible to shuttle data between chips with 100x the usual bandwidth. “Data today generally moves around inside computers—and in the case of training AI algorithms, between chips inside a data center—via electrical signals. Sometimes parts of those interconnections are converted to fiber-optic links for great bandwidth, but converting signals back and forth between optical and electrical creates a communications bottleneck. Instead, Lightmatter wants to directly connect hundreds of thousands or even millions of GPUs—those silicon chips that are crucial to AI training—using optical links. Reducing the conversion bottleneck should allow data to move between chips at much higher speeds than is possible today, potentially enabling distributed AI supercomputers of extraordinary scale.” https://lnkd.in/g-_UYJ-r 

To Build a Better AI Supercomputer, Let There Be Light

To Build a Better AI Supercomputer, Let There Be Light

wired.com

Sakura Ticer

Product Documentation | Self-Service Help

6mo

"Passage, which will be ready by 2026, should allow for more than a million GPUs to run in parallel on the same AI training run." This is pretty cool!

Anthony Messulam

Limited Partner / Venture Capital

6mo

This is just great!

See more comments

To view or add a comment, sign in

Explore topics